用户名: 密码: 验证码:
网络化MPSoC高能效设计技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
片上系统(Systern-on-Chip,SoC)已广泛应用于网络通信、信号处理、多媒体等嵌入式电子产品中,但单处理器SoC无法满足应用领域日益增长的计算能力需求,MPSoC(Multiprocessor SoC)成为高性能嵌入式系统的实现平台。随着集成电路技术的快速发展,单个芯片上的晶体管数目将会达到数十亿个,可以集成数百个异构的IP核,传统的总线结构在可扩展性、通信效率、功耗等方面不再适用,通信成为MPSoC突出的性能瓶颈。片上网络(Network-on-Chip,NoC)作为解决复杂的片上多核通信问题的全新设计模式,为嵌入式系统设计方法学带来了新的机遇和挑战。同时,为延长电池使用时间、降低芯片封装和冷却费用、提高系统可靠性,高能效已成为基于NoC的MPSoC(简称网络化MPSoC)设计中的首要因素。
     本文首先总结了嵌入式系统的设计方法,分析了NoC的设计特点,针对可重用的MPSoC/NoC平台,提出了一种高能效网络化MPSoC的系统级设计流程。在此基础上,面向低成本、硬实时、高性能、高可信的嵌入式应用,采取不同的能耗优化技术,重点解决了以下四个关键问题:(1)MPSoC计算平台的软硬件划分、(2)固网NoC平台的映射、(3)硬网NoC平台的路由与链路电压分配和(4)软网NoC平台的软硬件协同综合。
     本文主要的贡献与创新之处包括:
     (1)针对基于可重用组件的MPSoC软硬件划分问题,提出了一种采用自动波竞争神经网络的优化算法。首先将MPSoC软硬件划分转化为图论中的多约束最短路径问题,然后对神经网络中的自动波机制重新进行了设计,从组件库中为每个任务模块选择合适的软件构件或IP核,在系统成本和实时性约束下,使得MPSoC的功耗最优。本算法具有并行化、无参数、易于硬件实现等优点,可获得MPSoC软硬件划分问题的最优解。
     (2)针对二维网格NoC中通信时延受限的低能耗映射问题,提出了一种改进的禁忌搜索算法TSNM。TSNM基于集中和分散机制,将RobustTabu Search与COHX交叉操作融合,具有搜索效率高和优化性能好的优点,适合于求解大规模NoC映射问题。
     (3)针对树拓扑NoC中通信时延受限的低能耗映射问题,提出了一种递归的二路划分算法RPM。RPM采用分而治之策略,使用改进后的Kemighan-Lin算法实现IP核通信任务图的最小割划分,在较短的运行时间内获得了性能优异的NoC映射解,可用于获得高质量的优化结果或NoC设计空间探索的快速内部迭代。
     (4)针对链路电压可调整的NoC平台,提出了一种可靠性感知的通信链路能耗优化算法。考虑了低电压对电路瞬时故障率的负面影响,将通信可靠性与能耗折中设计引入到NoC的路由和链路电压分配中,设计了一个新的能效变化率驱动的启发式电压分配算法,与基于禁忌搜索的路由分配相结合,在确保NoC通信可靠性和带宽约束的同时,可以有效降低链路的通信能耗。
     (5)针对支持电压岛的层次化NoC平台,提出了一种基于嵌套遗传算法的软硬件协同综合算法。面向可信嵌入式实时MPSoC应用的完整设计流程,通过资源分配、任务指派、聚簇映射、任务调度以及电压调整等设计步骤,可以有效降低NoC系统的计算与通信能耗,实现能耗与可靠性的多目标优化,更适合于异构层次化NoC系统综合。
     目前,国内外对MPSoC/NoC设计方法学的研究还处于初步发展阶段,存在许多有待解决的问题。本文提出的网络化MPSoC高能效设计方法和优化算法,为下一代基于NoC的嵌入式多核系统的设计自动化提供了新的技术和思路。
SoCs(System-on-Chips) have been widely applied in embedded electronic devicesfor communication,signal processing and multimedia.Since uniprocessor SoC couldnot satisfy the growing computation requirements of such applications,MPSoC(Multiprocessor SoC) platforms have emerged for high performance embedded systems.With the rapid development of IC technologies,there will be billions of transistors andhundreds of heterogeneous IP cores on a single chip.Due to poor scalability,communiction perfomance and energy efficiency,bus will not suite for MPSoCs,so theinterconnect subsystem will be the bottleneck of such complex MPSoCs.As a newparadigm,NoCs(Network-on-Chips) have been proposed to overcome the complexon-chip multi-core communication problems,providing opportunity and challenges todesign methodology of embedded systems.For the sake of extending the lifetime ofbatteries,reducing the cost of package and cooling,and improving reliability,energyefficiency have been the most important factor of NoC-based MPSoCs.
     In this dissertation the design methodology of embedded systems is summarized,and the design methods of NoCs are analyzed.Then a novel system-level design flowfor energy efficient and NoC-based MPSoCs is presented.More precisely,in thefollowing chapters,4 key design problems are identified and solved for embeddedapplications with low cost,hard real-time,high performance and high dependabilityrequirements.These problems include:(1) hardware-software partitioning of MPSoCcomputation platform,(2) IP mapping of firm NoC platform,(3) routing path allocationand links voltage assignment of hard NoC platform,(4) hardware-software co-synthesisof soft NoC platforms.
     In this dissertation,the main works and contributions are as follows:
     (1) A hardware-software partitioning algorithm using Autowave CompetitionNeural Networks is proposed for MPSoCs based on reusable components.Thepartitioning problem is formulated as a multi-constrained shortest path problem.Theautowaves are designed specially to solve the shortest problems optimally.Finally,eachtask module of the system is allocated a software component or IP core from the components library,such that the power consumption of the MPSoC is minimizedsubject to cost and timing constraints.This algorithm could obtain globally optimalsolutions,and it's parallel and non-parameter.It's easy to implement the neural networkby VLSI.
     (2) An improved Tabu Search algorithm,called TSNM,is proposed for low-energymapping problem of 2-D Mesh NoCs subject to communication latency constraints.Based on“intensification and diversification”mechanism,TSNM merges Robust TabuSearch and COHX crossover operator.Experimental results show that TSNM can givebetter quality solutions and smaller searching space,so it is more efficient to solvelarge-scale NoC mapping problems.
     (3) A recursive bipartitioning algorithm,called RPM,is proposed for low-energymapping problem of tree based NoCs subject to communication latency constraints.RPM is an efficient divide-and-conquer approach and calls modified Kemighan-Linheuristic to perform mincut partintioning of IP core communication task graphs.Experimental results show that RPM obtains lower energy mapping solutions and it isvery fast.It is suited to both producing excellent results and quick NoC design spaceexploration by tuning the parameter of RPM.
     (4) A reliability-aware energy optimization algorithm is proposed for NoC withvoltage scalable links.Considering the effect of reduced voltage on fault rates,thisapproach achieves energy/reliability trade-off when performing routing path allocationand links voltage assignment.A novel energy-efficiency gradient driven heuristic isdeveloped to assign the voltages for the links during Tabu Search based global designspace exploration of routing path allocation.Experimental results show that thepresented method can efficiently guarantee the communication reliability andbandwidth constraints,and obtain significant energy savings of communication links.
     (5) A hardware-software co-synthesis algorithm is proposed for voltage islandsbased hierarchical NoC systems.A novel design framework based on iterated GeneticAlgorithm is developed for the complete co-synthesis flow of dependable embeddedreal-time MPSoC applications.The multi-objective optimization techniqueautomatically performs resource allocation,task assignment,cluster mapping,taskscheduling and voltage assignment to trade-off energy and reliability of NoC systems.Experimental results show that the proposed design technique performs significantly better than existing algorithms in both energy minimization and reliability.It is suitedfor system-level synthesis of heterogeneous hierarchical NoCs.
     At present,the researches on MPSoC/NoC design methodology are in the stage ofinitial development,and there are many open problems left.The design methods andenergy optimization algorithms presented in this dissertation may provide some newtechniques and ideas to design automation of next-generation embedded multi-coresystems based on NoC.
引文
[1]W Wolf,A a Jerraya,G Martin.Multiprocessor System-on-Chip (MPSoC) Technology.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2008,27(10):1701-1713
    [2]A a Jerraya,W Wolf.Multiprocessor Systems on Chips.San Francisco,Califormia:Elsivier Morgan Kaufmann,2005
    [3]S Dutta,R Jensen,A Rieckmann.Viper:A multiprocessor SOC for advanced set-top box and digital TV systems.IEEE Design & Test of Computers,2001,18(5):21-31
    [4]S Murali.Methodologies for Reliable and Efficient Design of Networks on Chip:[博士学位论文].Stanford:Stanford University,2007
    [5]L Benini,G De Micheli.Networks on chips:a new SoC paradigm.IEEE Computer,2002,35(1):70-78
    [6]T Bjerregaard,S Mahadevan.A survey of research and practices of Network-on-chip.ACM Computing Surveys,2006,38(1):1-51
    [7]J Hu.Design Methodologies For Application Specific Networks-on-Chip:[博士学位论文].Pittsburgh:Carnegie Mellon University,2005
    [8]K Goossens,J Dielissen,A Radulescu.AEthereal network on chip:concepts,architectures,and implementations.IEEE Design & Test of Computers,2005,22(5):414-421
    [9]M Millberg,E Nilsson,R Thid,et al.The Nostrum Backbone-a Communication Protocol Stack for Networks on Chip.Proceedings of the 17th International Conference on VLSI Design,2004,693-696
    [10]L Kangmin,L Se-Joong,Y Hoi-Jun.Low-power network-on-chip for high-performance SoC design.IEEE Transactions on Very Large Scale Integration Systems,2006,14(2):148-160
    [11]M Dall'osso,G Biccari,L Giovannini,et al.xpipes:a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs.Proceedings of the 21 st International Conference on Computer Design,2003,536-539
    [12]A Adriahantenaina,H Charlery,A Greiner,et al.SPIN:A Scalable,Packet Switched,On-Chip Micro-Network.Proceedings of Design,Automation and Test in Europe,2003,70-73
    [13]U Y Ogras,J Hu,R Marculescu.Key research problems in NoC design:a holistic perspective.Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis,2005,69-74
    [14]K S Chathak,K Srinivasan,G Konjevod.Automated Techniques for Synthesis of Application-Specific Network-on-Chip Architectures.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2008,27(8):1425-1438
    [15]H Wang,L S Peh,S Malik.A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip Networks.Proceedings of Design,Automation and Test in Europe,2005,1238-1243
    [16]T Lei,S Kumar.A Two-step Genetic Algorithm for Mapping Task Graphs to a Network on Chip Architecture.Proceedings of the Euromicro Symposium on Digital Systems Design,2003,180-187
    [17]A Jantsch,J Berg,H Tenhunen.Special issue on networks on chip.Journal of Systems Architecture,2004,50(2-3):61-63
    [18]L Benini.Guest Editorial.Integration,the VLSI Journal,2004,38(1):1-2
    [19]L S Peh,T M Pinkston.Guest Editorial:Special Section on On-Chip Networks.IEEE Transactions on Parallel and Distributed Systems,2005,16(2):97-98
    [20]A Ivanov,G De Micheli.Guest Editors' Introduction:The Network-on-Chip Paradigm in Practice and Research.IEEE Design & Test of Computers,2005,22(5):399-403
    [21]R Acknowledgment.Networks-on-Chip:Emerging Research Topics and Novel Ideas.VLSI Design,2007,1(1):1-3
    [22]R Marculescu.Introduction to the Special Section on Networks-on-Chip.IEEE Transactions on Computers,2008,57(9):1153-1155
    [23]周干民.NoC基础研究:[博士学位论文].合肥:合肥工业大学,2005
    [24]张磊,李华伟,李晓维.用于片上网络的容错通信算法.计算机辅助设计与图形学学报,2007,19(4):508-514
    [25]朱晓静,胡伟武,马可,等.Xmesh:一个mesh—like片上网络拓扑结构.软件学报,2007,18(9):2194-2204
    [26]王宏伟,陆俊林,佟冬,等.层次化片上网络结构的簇生成算法.电子学报,2007,35(5):916-920
    [27]林桦,李险峰,佟冬,等.保证QoS的片上网络低能耗映射与路由方法.计算机辅助设计与图形学学报,2008,20(4):425-431
    [28]马立伟,孙义和.片上网络拓扑优化:在离散平面上布局与布线.电子学报,2007,35(5):906-911
    [29]杨盛光,李丽,高明伦,等.面向能耗和延时的NoC映射方法.电子学报,2008,36(5):937-942
    [30]温东新,朴守业,王玲,等.一种新型NoC拓扑结构的研究.高技术通讯,2008,18(7):699-702
    [31]段新明,杨愚鲁.基于不规则Mesh的NoC无死锁路由.小型微型计算机系统,2008, 29(7):1215-1218
    [32]R P Dick.Multiobjective synthesis of low-power real-time distributed embedded system:[博士学位论文].Princeton:Princeton University,2002
    [33]B Luca,G D Micheli.System-Level Power Optimization:Techniques and Tools.ACM Transactions on Design Automation of Electronic Systems,2000,5(2):115-192
    [34]M T Schmitz,B Al-Hashimi,P Eles.System-Level Design Techniques for Energy-Efficient Embedded Systems.Kluwer Academic Publishers,2004
    [35]W Wolf.High-performance embedded computing.Morgan Kaufmann Publishers,2007
    [36]Z Shao,Q Zhuge,C Xue,et al.Efficient assignment and scheduling for heterogeneous DSP systems.IEEE Transactions on Parallel and Distributed Systems,2005,16(6):516-525
    [37]R Niemann,P Marwedel.An Algorithm for Hardware/Software Partitioning Using Mixed Integer Linear Programming.Design Automation for Embedded Systems,1997,2(2):165-1 93
    [38]熊光泽,常政威,桑楠.可信计算发展综述.计算机应用,2009,29(4):915-919
    [39]P Shivakumar,M Kistler,S W Keckler,et al.Modeling the effect of technology trends on the soft error rate of combinational logic.Proceedings of International Conference on Dependable Systems and Networks,2002,389-398
    [40]N K Jha.Low-power system scheduling,synthesis and displays.IEE Proceedings-Computers and Digital Techniques,2005,152(3):344-352
    [41]L Jiong,N K Jha.Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems.Proceedings of the 2000 IEEE/ACM international conference on Computer-aided designComputer Aided Design,2000,357-364
    [42]F Gruian,K Kuchcinski.LEneS:task scheduling for low-energy systems using variable supply voltage processors.Proceedings of the 2001 conference on Asia South Pacific design automation,2001,449-455
    [43]N K Bambha,S S Bhattacharyya,J Teich,et al.Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors.Proceedings of the ninth international symposium on Hardware/software codesign,2001,243-248
    [44]L Jinfeng,P H Chou,N Bagherzadeh,et al.Power-aware scheduling under timing constraints for mission-critical embedded systems.Proceedings of the 38th conference on Design automation,2001,840-845
    [45]L Jiong,N K Jha.Power-profile driven variable voltage scaling for heterogeneous distributed real-time embedded systems.Proceedings of 16th International Conference on VLSI Design,2003,369-375
    [46]J Henkel.A low power hardware/software partitioning approach for core-based embedded systems.Proceedings of the 36th ACM/IEEE conference on Design automation,1999, 122-127
    [47]R P Dick,N K Jha.MOCSYN:multiobjective core-based single-chip system synthesis.Proceedings of Design,Automation and Test in Europe,1999,263-270
    [48]I Hong,D Kirovski,Q Gang,et al.Power optimizationof variable-voltage core-based systems.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1999,18(12):1702-1714
    [49]D Kirovski,M Potkonjak.System-level synthesis of low-power hard real-time systems.Proceedings of the 34th annual conference on Design automation,1997,697-702
    [50]B P Dave,G Lakshminarayana,N K Jha.COSYN:Hardware-software co-synthesis of heterogeneous distributed embedded systems.IEEE Transactions on Very Large Scale Integration Systems,1999,7(1):92-104
    [51]B P Dave,N K Jha.COFTA:hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance.IEEE Transactions on Computers,1999,48(4):417-441
    [52]Y Xie,L Li,M Kandemir,et al.Reliability-aware co-synthesis for embedded systems.Proceedings of 15th IEEE International Conference on Application-Specific Systems,Architectures and Processors,2004,41-50
    [53]A Jhumka,S Klaus,S a Huss.A dependability-driven system-level design approach for embedded systems.Proceedings of Design,Automation and Test in Europe,2005,372-377
    [54]S Srinivasan,N K Jha.Safety and reliability driven task allocation in distributed systems.Transactions on Parallel and Distributed Systems,1999,10(3):238-251
    [55]Z Dakai,R Melhem,D Mosse.The effects of energy management on reliability in real-time embedded systems.Proceedings of the International Conference on Computer Aided Design,2004,35-40
    [56]J Duato,S Yalamanchili,N Lionel.Interconnection Networks:An Engineering Approach.San Francisco,CA,USA:Morgan Kaufmann Publishers Inc,2002
    [57]S Kumar,A Jantsch,J P Soininen,et al.A network on chip architecture and design methodology.Proceedings of IEEE Computer Society Annual Symposium on VLSI,2002,105-112
    [58]C a Zeferino,A a Susin.SoCIN:a parametric and scalable network-on-chip.Proceedings of the 16th symposium on Integrated circuits and systems design,2003,169-174
    [59]D Wiklund,L Dake.SoCBUS:switched network on chip for hard real time embedded systems.Proceedings of the 17th International Symposium on Parallel and Distributed Processing,2003,78-85
    [60]F Moraes,N Calazans,A Mello,et al.HERMES:an infrastructure for low area overhead packet-switching networks on chip.Integration,the VLSI Journal,2004,38(1):69-93
    [61]W J Dally,B Towles.Route packets,net wires:on-chip inteconnectoin networks.Proceedings of the 38th Design Automation Conference,2001,684-689
    [62]C E Leiserson.Fat-trees:universal networks for hardware-efficient supercomputing.IEEE Transactions on Computers,1985,34(10):892-901
    [63]A Jalabert,S Murali,L Benini,et al.XpipesCompiler:a tool for instantiating application specific networks on chip.Proceedings of Design,Automation and Test in Europe Conference 2004,884-889
    [64]K Srinivasan,K S Chatha,G Konjevod.Linear-programming-based techniques for synthesis of network-on-chip architectures.IEEE Transactions on Very Large Scale Integration Systems,2006,14(4):407-420
    [65]D J Watts,S H Strogatz.Collective dynamics of small-world networks.Nature,1998,393(6684):440-442
    [66]U Y Ogras,R Marculescu,L Hyung Gyu,et al.Communication architecture optimization:making the shortest path shorter in regular networks-on-chip.Proceedings of Design,Automation and Test in Europe,2006
    [67]P Wielage,K Goossens.Network on Silicon:Blessing or Nightmare.Proceedings of the Euromicro Symposium on Digital System Design,2002,1-5
    [68]X Leng,N Xu,F Dong,et al.Implementation and Simulation of A Cluster-based Hierarchical NoC Architecture for Multi-Processor SoC.Proceedings of ISCIT,2005,1163-1166
    [69]K F.,N A.,D S.An interconnect architecture for networking systems on chips.IEEE Micro,2002,22(5):36-45
    [70]H Zimmer,S Zink,T Hollstein,et al.Buffer-architecture exploration for routers in a hierarchical network-on-chip.Proceedings of 19th IEEE International Parallel and Distributed Processing Symposium,2005,171-174
    [71]A Pinto,L P Carloni,A L Sangiovanni-Vincentelli.efficient Synthesis of Networks On Chip.Proceedings of the 21 st International Conference on Computer Design,2003,146-150
    [72]J Hu,R Marculescu.Energy-aware mapping for tile-based NoC architectures under performance constraints.Proceedings of the 2003 conference on Asia South Pacific design automation,2003,233-239
    [73]D Shin,J Kim.Power-aware communication optimization for networks-on-chips with voltage scalable links.Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis,2004,170-175
    [74]L F Leung,C Y Tsui.Energy-aware synthesis of networks-on-chip implemented with voltage islands.Proceedings of the 44th annual conference on Design automation,2007,128-131
    [75]U Y Ogras,R Marculescu,P Choudhary,et al.Voltage-frequency island partitioning for GALS-based networks-on-chip.Proceedings of the 44th annual conference on Design automation,2007,110-115
    [76]S Murali,G De Micheli.SUNMAP:a tool for automatic topology selection and generation for NoCs.Proceedings of 41 st Design Automation Conference,2004,914-919
    [77]K Srinivasan,K S Chatha,G Konjevod.Linear Programming based Techniques for Synthesis of Network-on-Chip Architectures.Proceedings of the IEEE International Conference on Computer Design,2004,422-429
    [78]D D Gajski,F Vahid,S Narayan,et al.Specification and design of embedded systems.Upper Saddle River,NJ,USA:Prentice-Hall,1994
    [79]J L Johnson,DRitter.Observation of periodic waves in a pulse-coupled neural network.Opt.Lett.,1993,18(15):1253-1255
    [80]J L Johnson.Pulse-coupled neural nets:translation,rotation,scale,distortion,and intensity signal variance for images.Appl.Opt.,1994,33(26):6239-6253
    [81]J L Johnson,M L Padgett,U S Micom,et al.PCNN models and applications.IEEE Transactions on Neural Networks,1999,10(3):480-498
    [82]H J Caulfield,J M Kinser.Finding the shortest path in the shortest time using PCNN's.IEEE Transactions on Neural Networks,1999,10(3):604-606
    [83]X Gu,L Zhang,D Yu.Delay PCNN and Its Application for Optimization.Lecture Notes in Computer Science.vol.3173,2004,413-418
    [84]J Zhang,D Wang,M Shi.Output-threshold coupled neural network for solving the shortest path problems.Science in China,Ser.F,2004,4(I):20-33
    [85]H Qu,Z Yi.A new algorithm for finding the shortest paths using PCNNs.Chaos,Solitons & Fractals,2007,33(4):1220-1229
    [86]董继扬,张军英,陈忠.自动波竞争神经网络及其在单源最短路问题中的应用.物理学报,2007,56(9):5013-5020
    [87]P Arato,Z Mann,A Orban.Algorithmic Aspects of Hardware/Software Partitioning.ACM Transactions on Design Automation of Electronic Systems,2005,10(1):136-156
    [88]M L(?)pez-Vallejo,J C L(?)pez.On the hardware-software partitioning problem:System modeling and partitioning techniques.ACM Transactions on Design Automation of Electronic Systems,2003,8(3):269-297
    [89]F Vahid,T D Le.Extending the Kernighan/Lin Heuristic for Hardware and Software Functional Partitioning.Design Automation for Embedded Systems,1997,2(2):237-261
    [90]P Eles,Z Peng,K Kuchcinski,et al.System Level Hardware/Software Partitioning Based on Simulated Annealing and Tabu Search.Design Automation for Embedded Systems,1997,2(1): 5-32
    [91]Y Zhang,W Luo,Z Zhang,et al.A hardware/software partitioning algorithm based on artificial immune principles.Applied Soft Computing,2008,8(1):383-391
    [92]D Saha,R S Mitra,A Basu.Hardware software partitioning using genetic algorithm.Proceedings of 10th International Conference on VLSI Design,1997,155-160
    [93]B Guo,D Wang,Y Shen,et al.Hardware-software partitioning of real-time operating systems using Hopfield neural networks.Neurocomputing,2006,69(16-18):2379-2384
    [94]J Zhan,G Xiong.Optimal hardware/software co-synthesis for core-based SoC designs.Journal of Systems Engineering and Electronics,2006,17(2):402-409
    [95]Z Chang,G Xiong.Hardware/Software Partitioning of Core-Based Systems Using Pulse Coupled Neural Networks,Advances in Neural Networks-ISNN 2007,2007,1015-1023
    [96]P Guerrier,A Greiner.A genetic architecture for on-chip packet-switched interconnections.Proceedings of the conference on Design,automation and test in Europe,2000,250-256
    [97]T Hollstein,M Glesner.Advanced hardware/software co-design on reconfigurable network-on-chip based hyper-platforms.Computers & Electrical Engineering,2007,33(4):310-319
    [98]G Ascia,V Catania,M Palesi.Multi-objective mapping for mesh-based NoC architectures.Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis,2004,182-187
    [99]C a M Marcon,E I Moreno,N L V Calazans,et al.Comparison of network-on-chip mapping algorithms targeting low energy consumption.IET Computers & Digital Techniques,2008,2(6):471-482
    [100]S Murali,G De Micheli.Bandwidth-Constrained Mapping of Cores onto NoC Architectures.Proceedings of Design,Automation and Test in Europe,2004,896-901
    [101]W Hung,C Addo-Quaye,T Theocharides,et al.Thermal-aware IP virtualization and placement for networks-on-chip architecture.Proceedings of IEEE International Conference on Computer Design:VLSI in Computers and Processors,2004,430-437
    [102]E L Lawler.The Quadratic Assignment Problem.Management Science,1963,9(4):586-599
    [103]王凌.智能优化算法及其应用.北京:清华大学出版社,2001
    [104]J Skorin-Kapov.Tabu search applied to the quadratic assignment problem.ORSA Journal on Computing,1990,2(1):33-45
    [105]E Taillard.Robust taboo search for the quadratic assignment problem.Parallel Computing,1991,17(4):443-455
    [106]R Battiti.The Reactive Tabu Search.ORSA Journal on Computing,1994,6(2):126-140
    [107]王凌,郑大钟.混合优化策略统一结构的探讨.控制与决策,2002,17(1):34-36
    [108]Z Drezner.A New Genetic Algorithm for the Quadratic Assignment Problem.INFORMS Journal on Computing,2003,15(3):320-330
    [109]R P Dick,D L Rhodes,W Wolf.TGFF:task graphs for free.Proceedings of the 6th international workshop on Hardware/software codesign,1998,97-101
    [110]B W Kernighan,S Lin.An efficient heuristic procedure for partitioning graphs.Bell System Technical Journal,1970,49(2):291-307
    [111]T H Corman,C E Leiserson,R L Rivest.Introduction to Algorithms.MIT Press,2001
    [112]G Chen,F Li,M Kandemir,et al.Reducing NoC energy consumption through compiler-directed channel voltage scaling.Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation,2006,193-203
    [113]P Bogdan,T Dumitra,R Marculescu.Stochastic Communication:A New Paradigm for Fault-Tolerant Networks-on-Chip.VLSI Design,2007
    [114]S Manolache,P Eles,Z Peng.Fault-aware Communication Mapping for NoCs with Guaranteed Latency.International Journal of Parallel Programming.2007,35(2):125-156
    [115]J Hu,R Marculescu.Communication and task scheduling of application-specific networkson-chip.IEE Proceedings-Computers and Digital Techniques,2005,152(5):643-651
    [116]C L Chou,R Marculescu.Incremental run-time application mapping for homogeneous NoCs with multiple voltage levels.Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis,2007,161-166
    [117]F Worm,P Ienne,P Thiran,et al.An adaptive low-power transmission scheme for on-chip networks.15th International Symposium on System Synthesis,2002,92-100
    [118]J Kim,M a Horowitz.Adaptive supply serial links with sub-1-Ⅴ operation and per-pin clock recovery.IEEE Journal of Solid-State Circuits,2002,37(11):1403-1413
    [119]L Shang,L S Peh,N K Jha.Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks.Proceedings of the Ninth International Symposium on High-Performance Computer Architecture,2003
    [120]T Dumitras,R Marculescu.On-Chip Stochastic Communication.Proceedings of the conference on Design,Automation and Test in Europe,2003
    [121]M Pirretti,G M Link,R R Brooks,et al.Fault tolerant algorithms for network-on-chip interconnect.Proceedings of IEEE Computer society Annual Symposium on VLSI,2004,46-51
    [122]T T Ye,G De Micheli,L Benini.Analysis of power consumption on switch fabrics in network routers.Proceedings of the 39th conference on Design automation,2002,524-529
    [123]S M Shatz,J P Wang,M Goto.Task allocation for maximizing reliability of distributed computer systems.IEEE Transactions on Computers,1992,41(9):1156-1168
    [124]常政威,谢晓娜,桑楠,等.片上网络映射问题的改进禁忌搜索算法.计算机辅助设计与图形学学报,2008,20(2):156-160
    [125]J Hu,Y Shin,N Dhanwada,et al.Architecting Voltage Islands in Core-Based System-on-a-Chip Designs.Proceedings of the 2004 International Symposium on Low Power Electronics and Design,2004,180-185
    [126]M B Taylor,J Kim,J Miller,et al.The Raw microprocessor:a computational fabric for software circuits and general-purpose programs.IEEE Micro,2002,22(2):25-35
    [127]P P Pande,C Grecu,M Jones,et al.Effect of traffic localization on energy dissipation in NoC-based interconnect.IEEE International Symposium on Circuits and Systems,2005,1774-1777
    [128]C Grecu,M Jones.Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures.IEEE Transactions on Computers,2005,54(8):1025-1040
    [129]K C Chang,T F Cher.Low-power algorithm for automatic topology generation for application-specific networks on chips.IET Computers & Digital Techniques,2008,2(3):239-249
    [130]A Aggarwal,M Franklin.Hierarchical Interconnects for On-Chip Clustering.Proceedings of the 16th International Parallel and Distributed Processing Symposium 2002,63-70
    [131]T Hollstein,R Ludewig,H Zimmer,et al.,Hinoc:A Hierarchical Generic Approach for on-Chip Communication,Testing and Debugging of SoCs,VLSI-SOC:From Systems to Chips:Springer Boston,2006,39-54
    [132]常政威,谢晓娜,熊光泽.片上网络拓扑结构.计算机应用,2007,27(11):2847-2850
    [133]C Zhu,Z P Gu,R P Dick,et al.Reliable multiprocessor system-on-chip synthesis.Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis,2007,239-244
    [134]C L Chou,U Y Ogras,R Marculescu.Energy-and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2008,27(10):1866-1879
    [135]Z Chang,G Xiong,N Sang.Energy-aware Mapping for Tree-based NoC Architectures by Recursive Bipartitioning.Proceedings of International Conference on Embedded Software and Systems,2008,105-109

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700