用户名: 密码: 验证码:
数字集成电路测试方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
数字集成电路功能的不断增加和规模的日益扩大,使集成电路的测试面临着巨大压力,不仅测试生成越来越困难,而且测试应用时间也越来越长,导致测试费用不断提高。而集成电路的测试问题关系到集成电路及相关产品的设计、生产、制造及应用开发等各个领域,因此,如何找到高效率、低成本、满足当前测试需求的数字集成电路测试方法越来越受到人们的广泛关注。本文以数字集成电路测试为背景,针对电路测试所面临的测试生成时间长、故障覆盖率低以及测试应用时间长的问题,分别对数字集成电路测试生成方法、测试质量提高方法和静态测试压缩方法进行了深入研究。
     首先,对数字集成电路测试生成过程的关键技术进行了详细分析。建立被测电路的电路模型和故障模型并对被测电路目标故障集进行故障等价归并,精简故障集合,减少测试向量生成过程的计算量;根据电路可测性分析和计算方法,对被测电路进行可测性分析和计算,将计算结果注入电路链表结构,为测试向量生成过程选择最优路径;用并行故障模拟器选出最优的测试向量集合,保证故障覆盖率,提高测试生成效率。
     其次,针对数字集成电路测试生成时间长和故障覆盖率低的问题,将性能较好的蚂蚁算法和遗传算法应用于基于模拟的集成电路测试生成过程,缩短测试生成时间;针对基于模拟的测试生成方法所面临的缺乏激活难测故障和传播相应故障响应所必需信息的问题,对电路引入扫描设计测试结构,提出了一种将基于模拟测试生成方法与扫描设计测试结构相结合的有限扫描测试生成方法,在基于模拟的测试生成方法基础上,通过将扫描电路的扫描输入端、扫描选择端和扫描输出端视为电路通用输入输出端,穿透扫描时钟周期和测试时钟周期之间的差异,提高故障覆盖率。国际基准电路实验及比对结果表明,提出方法有效减少了测试生成时间,提高了故障覆盖率。
     再次,为了提高有限扫描测试序列对非模型化故障的故障覆盖率和故障隔离率,本文在详细分析现有测试质量评价方法基础上,对其在故障隔离评价方面的不足进行补充和完善。将完善后的测试质量评价方法扩展到有限扫描测试序列质量评价方法,并根据有限扫描测试序列自身特点,提出了两种提高测试质量的方法,通过随机确定测试序列含有的不确定值和修改测试序列内部扫描选择子序列数值的方法提高测试序列质量,基准电路实验结果表明,提出方法有效提高了测试序列对非模型化故障的故障覆盖率和故障隔离率。
     最后,针对扫描设计测试结构生成的测试序列长,导致测试应用时间多的问题,对有限扫描测试序列进行了基于向量删除的静态测试压缩,去掉测试序列中的冗余向量;根据有限扫描测试序列的扫描特性,提出一种与基于向量删除静态测试压缩方法相结合的有限扫描静态测试压缩方法,在基于向量删除的静态测试压缩基础上,用较短的有限扫描操作代替较长的全扫描操作或有限扫描操作,合并候选测试向量,引入启发式方法降低计算复杂度,在保证不降低故障覆盖率的前提下,压缩测试序列长度,减少测试应用时间和测试数据存储容量。基准电路实验结果表明,提出方法在保证故障覆盖率不变的前提下,有效减少了有限扫描测试序列的测试应用时间和测试数据存储容量。
The increasing of functions and scale in VLSI made great pressure to VLSI test. Not only the test generation is more and more difficult, but also the test application time becomes longer and longer. This increases the test expense constantly. However, the VLSI test problem relates to designing, producing, manufacturing and application developing of VLSI and other relative products in many fields. Therefore, how to find a high affection, low expense VLSI testing method to fulfill current test need is more and more concentrated by people. According to the problem of test generation time long, fault coverage low and test application time long, this dissertation takes the VLSI testing as background, deeply study the VLSI test generation method, test quality improve method and static test compact method respectively.
     First, the critical technologies of VLSI test generation are analyzed in detail. The circuit model and fault model of the circuit under test are established. The fault equivalence is done to the objective fault set to reduce the computation time of test generation procedure. According to the testability analysis and computing method of circuit, the testability of circuit under test is analyzed and computed. The computing result is input to the chain table structure to select the best path of test generation. The parallel fault simulator is used to select the best test set to ensure fault coverage and improve the test generation efficiency.
     Secondly, according to the test generation time long and fault coverage low problem of VLSI test, the better capacity algorithm ant algorithm and genetic algorithm are applied to simulation based test generation procedure to reduce the test generation time. Since the simulation-based test generation is lack of information necessary to active the objective fault and to propagate the fault effect, scan design test structure is introduced. A limit scan test generation approach is proposed base on both. Base on the simulation-base test generation method, the scan input, scan select and scan out of scan circuit are regarded as common inputs and output. The difference between scan time cycle and test time cycle is penetrated to improve the fault coverage. Experimental result and comparison result of benchmark circuits'show that the proposed approach reduced the test generation time and improve the fault coverage effectively.
     Thirdly, in order to improve the quality of the limit scan test sequence, and improve the fault coverage and fault isolate rate of limit scan test sequence in non-modeled fault, this dissertation analysis the current test quality evaluation method, and adds the fault isolate rate evaluation method to it. Then the new test quality evaluation method is applied to the limit scan test sequence. According to the characteristics of the limit scan test sequence, two test quality improvement approaches are proposed. By randomly specifying the unspecified value of test sequence and modifying the scan select subsequence of test sequence, the limit scan test sequence quality is improved. Experimental result of benchmark circuits show that the proposed method improve the fault coverage and fault isolate rate of limit scan test sequence in non-modeled fault effectively.
     Finally, according to the test sequence generated by scan design test structure long, consumes more the test application time problem, the vector omission based static test compaction is applied to the limit scan test sequence to take out the redundant test vectors. According to the scan characteristic of limit scan test sequence, a limit scan static test compact approach is proposed base on the vector omission based static test compaction. Inside the result of the vector omission based static test compaction, shorter limited scan operations are used to take place of longer full scan operations and limited scan operations. The candidate test vectors are combined and the heuristic method is introduced to reduce the computation complexity of static test compaction. Experimental result of benchmark circuits show that the proposed approach reduces the test application time and test data storage effectively and keeps the fault coverage at the same time.
引文
[1]向东.数字系统测试及可测性设计[M].北京:科学出版社,1997:2-11.
    [2]杨士元.数字系统的故障诊断与可靠性设计[M].北京:清华大学出版社,1999.
    [3]Bushnell M.1., Agrwaal V.D.超大规模集成电路测试[M].北京:电子工业出版社,2005.
    [4]Thomas Kropf. Introduction to Formal Hardware Verification[M]. Tokyo:Springer,1999.
    [5]李春伟,何振中,陈新武.改进的大规模集成电路测试方法[J].信息与电子工程,2007,5(4):308-312.
    [6]M. Abramovici, M. A. Breuer, A. D. Friedman. Digital System Testing and Testable Design[M]. Piscataway, New Jersey:IEEE Press,1994.
    [7]刘晓东.集成电路测试生成算法的研究[D].哈尔滨工业大学博士论文,2003:1-20.
    [8]刘峰,梁勇强.大规模集成电路可测性设计及其应用策略[J].玉林师范学院学报,2005,5:29-33.
    [9]雷绍充,邵志标,梁峰.VLSI测试方法学和可测性设计[M].北京:电子工业出版社,2005.
    [10]Winter, Alexander; Thiede, Andreas. A new generation of on-site test systems for power transformers[C]. International Symposium on Electrical Insulation.2008 ISEI.,2008:478-482.
    [11]J. P. Roth, W. G. Bouricius, P. R. Schneider, Programmed Algorithms to Compute Tests to Detect and Distinguish Between Failures in Logic Circuits[J]. IEEE Trans. on Electronic Computers,1976,16(5):567-580.
    [12]R. D. Eldred. Test Routines Based on Symbolic Logic Statements[J]. ACM, 1959,(1):33-36.
    [13]H. Fujiwara. FAN:A Fanout oriented Test Generation Algorithm[C]. Proc. Of IEEE Int. Symposium Cir. & Sys.,1985:671-674.
    [14]P. Goel. An implicit enumeration algorithm to generate tests for combinational logic circuits[J]. IEEE Trans. on Computers,1981,30(3):215-222.
    [15]J.Giraldi, M.L. Bushnell. EST:The New Frontier in Automatic Test Pattern Generation[C]. Proc.of 27th ACM/IEEE Design Automation Conf. 1990:667-672.
    [16]M. H. Schulz, E. Trischler, T. M. Sarfert. SOCRATES:A Highly Efficient Automatic Test Pattern Generation System[J]. IEEE Trans. on Computer Aided Design,1988,7(1):126-137.
    [17]F.F. Seller. Analysis Errors with the Boolean Difference[J]. IEEE Trans. On Computers,17(7):676-683.
    [18]T.Fujino, H.Fujiwara. An Effieient Test Generation Algorithm Based on Search State Dominance[C]. IEEE FTCS,1992:246-253
    [19]魏道政.产生功能级数字电路测试码的一种算法一主路经敏化法[J].计算机学报,1982,2.
    [20]H. Fujiwara.逻辑测试技术和可测性设计[M].计算机技术编辑部.1988,12.
    [21]C.Y.Lee. Representation of. Switching Circuits By Binary-Decision Programs[J]. Bell. Syst. Tech. J.,1959,38:985-999.
    [22]曾芷德.特大规模组合电路高速测试生成系统.国防科技大学学报,1999,21(2):38-41.
    [23]R. E. Bryant. Graph-Based Algorithms for Boolean Function Mainpulation[J]. IEEE Trans:On Computers,1986,35(8):677-691.
    [24]S. B. Akers. Binary Decision Diagrams[J]. IEEE Trans. Computer,1978, 27:509-616.
    [25]S.Seshu,D. N. Freeman. The diagnosis of asynchronous sequential switching systems[J]. IRE Trans. Electronic Computing,1962,11:459-465.
    [26]D. S. Nau. Expert Computer System[J]. Computer,1983,16(2):63-85.
    [27]H. D. Sehnurmann, E. Lindbloom, R. G. Carpenter. The weighted random test-pattern generator[J]. IEEE Trans. Computers,1975,24(7):695-700.
    [28]M. A. Breuer. A random and an algorithmic technique for fault detection test generation for sequential circuits[J]. IEEE Trans. Computers,1971, 20(11):1363-1370.
    [29]H. J. Wunderlich. Multiple distributions for biased random test patterns[J]. IEEE Trans. Computer Aided Design,1990,9(6):584-593.
    [30]R. Lisanke, F. Brglez, A. J. Degeus. Testability-driven random test pattern generation[J]. IEEE Trans. Computer Aided Design,1987,6(6):1082-1087.
    [31]V. D. Agrawal, K. T. Cheng, P. Agrawal. A directed search method for test generation using a concurrent simulator[J]. IEEE Trans. Computer Aided Design,1989,8(2):131-138.
    [32]T. J. Snethen. Simulator-oriented fault test generator[C]. Proc. Design Automation Conf.,1977:88-93.
    [33]D. G. Saab, Y. G. Saab, J. A. Abraham. CPIS:A test cultivation Program for sequential VLSI circuits[C]. Proc. Int. Conf. Computer Aided Design, 1992:216-219.
    [34]E. M. Rudniek, J. H. Patel, G. S. Greenstein, Sequential circuit test generation in a genetic algorithm framework[C]. Proc. Design Automation Conf.,1994:698-704.
    [35]Y. S. Abu Mostafa. Neural Networks for Computing[M]. Ed. J. S. Denker, NewYork:American Institute of Physics,1986:1-6.
    [36]M. S. Hsiao, E. M. Rudniek, J. H. Patel. Automatic test generation using genetically engineered distinguishing sequences[J]. Proc. VLSI Test Symp., 1996:216-223.
    [37]S. T. Chakradhar. Automatic Test Generation Using Neural Network[C]. Proceedings ICCAD88,1988:416-419.
    [38]M. Arai, T. Nakagawa, H. Kitagawa. An approach to-Automatic Test Pattern Generation Using Strictly Digital Neural Networks[J]. IEEE/INNS Int. Joint Conf. On Neural Networks,1992:474-479.
    [39]S. T. Chakradhar. Energy Minimization and Design for Testability[J]. Journal of Electronic Testing:Theory and Application,1994,5(1):57-66.
    [40]S. T. Chakradhar. Toward Massively Parallel Automatic Test of Electronic Testing[J]. IEEE Transactions on CAD,1990,9(9):981-994.
    [41]Ortega. Generalized Hopfield Neural Network for Concurrent Testing[J]. IEEE Transactions on Computer,1993,42(8):898-911.
    [42]H.Fujiwara. Three-Valued Neural Network for Test Generation[C]. International Sym. On Fault-Tolerant Computing,1990:64-71.
    [43]T. Lin. Neural Net Diagnosis for VLSI Design[C]. Proceedings of Second NASA SERC Symp. On VLSI Design,1990:360-371.
    [44]Zai Zhang. A Neural Network Algorithm for Testing Stuck-open Faults in CMOS Combinational Circuits[J]. Journal of Electronic Testing:Theory and Application,1993,2(4):225-235.
    [45]潘中良,张光昭.数字电路多故障测试生成的神经网络方法研究[J].仪器仪表学报,1999,20(3):232-234.
    [46]A. Wu. Neural Network diagnosis of IC faults[C]. Proceedings VLSI Test Symp.,1991:199-203.
    [47]潘中良,陈光(?).数字电路的最优神经网络模型及建立方法[J].信号处理,2000,16(1):24-31.
    [48]潘中良,张光昭.数字电路的神经网络模型研究[J].中山大学学报,2000,39(1):27-30.
    [49]D. E. Goldberg. Genetic Algorithms in Search[M]. Optimization and Machine Learning. Addison-Wesley Reading, Mass.,1989.
    [50]J. H. Holland. A daptation in Natural and Artificial System[M]. Ann Arbor: The University of Michigan Press.,1975.
    [51]Wurtenberger A, Rosinger P, Chakrabarty K, etc. Cost model driven test resource partitioning for SoCs[J]. ELECTRONICS LETTERS,2006,42 (16):915-916.
    [52]A. Adir, H. Azatchi, E. Bin,O. Peled, etc. A generic micro-architectural test plan approach for microprocessor verification[C]. in Proc.42nd Ann. Conf. Des.Autom. (DAC),2005:769-774.
    [53]Dorigo M., Gambarddella L. M. Ant Colony System:A Cooperative Learning Approaeh to the Traveling Salesman Problem[J]. IEEE Trans. Evol. Comp.,1997, 1(1):53-56.
    [54]Deneubourg J. L., Goss S. Collective Patterns and decision making[J]. In Ethology, Ecology and Evolution,1989,1:295-311.
    [55]Gianni Di Caro, Dorigo M. Ant-net:Distributed Stigmergetic Control for Communications Networks[J]. Journal of Artificial Intelligence Research, 1998,9:317-365.
    [56]Schoonderwoerd R., Holland O., Bruten J. Ant-based Load Balancing in Telecomunications Networks[J]. Adaptive Behavior,1997,5(2):169-207.
    [57]Chris Wilson, David L. Dill. Reliable Verification using Symbolic Simulation with Scalar Values[C].37th Design Automation Conference, 2000.
    [58]张素兵,吕国英,刘泽民.基于蚂蚁算法的QOS路由调度方法[J].电路 与系统学报,2000,5(1):1-5.
    [59]El-Maleh, A. H. Khursheed, S. S. Sait. Efficient Static Compaction Techniques for Sequential Circuits Based on Reverse-Order Restoration and Test Relaxation Computer-Aided Design of Integrated Circuits and Systems[J]. IEEE Transactions on,2006,25(11):2556-2564.
    [60]C. Pixley. A Theory and Implementation of Sequential Hardware Equivalence [J]. IEEE The National Technology Roadmap for Semiconductors(ITRS),1992:1469-1494.
    [61]Das S.R., Assaf M.H., Petriu E.M., etc. Fault simulation and response compaction in full scan circuits using HOPE[J]. Instrumentation and Measurement,2005,54(6):2310-2328.
    [62]CHUN S, KIM Y, JUNG-BEEN IM, etc. MICRO:a new hybrid test data compression decompression scheme [J]. IEEE Transaction on VeryLarge Scale Integration (VLSI) Systems,2006,14(6):649-654.
    [63]Iwagaki Tsuyoshi, Ohtake Satoshi, Fujiwara Hideo. A new test generation model for broadside transition testing of partial scan circuits[C]. IFIP VLSI SoIC 2006, IFIP International Conference on Very Large Scale Integration and System-on-Chip,2006:308-313.
    [64]Liang Huaguo, Fang Xiangsheng, Jiang Cuiyun, etc. A BIST scheme based on selecting state transition of folding counters[J]. Journal of Computer Research and Development,2006,43(2):343-349.
    [65]许川佩.时序电路测试生成算法研究[D].电子科技大学博士论文,2006:9-12.
    [66]Iwagaki T., Ohtake S., Kaneko M., etc. Efficient path delay test generation based on stuck-at test generation using checker circuitry[C]. ICCAD, 2007:418-423.
    [67]M. Henftling, H. Wittmann, K. J. Antreich. A Formal Non-Heuristic ATPG Approach[C]. in Proc. of the European Design Automation Conf.,1995:248-253.
    [68]李忠诚,潘榆奇,闵应骅.一个基于电路结构分析的测试生成系统一SABATPG[J].中国科学,1993,23(2):189-196.
    [69]李西峰,谢永乐.模拟VLSI电路参数型故障的测试方法[J].系统仿真学报,2008,20(20):5576-5580.
    [70]Bo Yang, Kaijie Wu, Karri R. Secure Scan:A Design-for-Test Architecture for Crypto Chips[J]. IEEE Computer-Aided Design of Integrated Circuits and Systems Transactions on.2006,25(10):2287-2293.
    [71]Corno F., PrinettoP., Rebuadengo, etc. A Genetic Algorithm for Automatic Test Pattern Generation for Lagre Synchronous[J]. Sequential Circuits. IEEE Trans. On Computer Aided Design,1998,15(8):991-1000.
    [72]Arai M., NakagawaT., Kitagawa H. An approach to Automatic Test Pattern Generation Using Strictly Digital Neural Newtokrs[C]. IEEE/INNS Int. Joint Conf. On Neural Newtokrs,1992:474-479.
    [73]Liang Huaguo, Jiang Cuiyun. Efficient test data compression and decompression based on alternation and run length codes[J]. Chinese Journal of Computers,2004,27(4):548-554.
    [74]Saba D. G., Saba Y. G., Abraham J.A. CRIS:A test cultivation Program for sequential VLSI circuits[C]. Proc. Int. Conf. Computer Aided Design,1992, 216-219.
    [75]Y. S., Abu Mostafa. Neural Networks for Computing[C]. A/p Conefrence Proceedings,1986:1-6.
    [76]Hsiao M. S., Rudnick E. M., Patel J. H. Dynamic State Traversal for Sequential Circuit Test Generation. ACM Trans. Design Auto. Electronic Systems,2000,5(3):548-565.
    [77]T. M. Niermann, J. H. Patel. HITEC:A Test Generation Package for Sequential Circuits[C]. in Proc. of the European Design Automation Conf, 1991:241-218.
    [78]杨德才,谢永乐,.陈光(?).FFT处理器的一种扫描内建自测试方案[J].仪器仪表学报,2008,29(2):299-303.
    [79]L. Lingappan, S. Ravi, and N. K. Jha. Satisfiability-based test generation for nonseparable RTL controller-datapath circuits[J]. IEEE Trans. Comput.-Aided Des. Integer. Circuits Syst.,2006,25:544-557.
    [80]T. M. Niermann, W. T. Cheng, J. H. Patel. PROOFS:A Fast Memory Efficient Sequential Circuits Fault Simulator[J]. IEEE Trans. on Computer-Aided Design,1992,11(2):198-207.
    [81]M. R. Prasad, A. Biere, A. Gupta. A survey of recent advances in sat-based formal verification[J].Int. J. Softw. Tools Technol. Transfer,2005,7(2):156- 173.
    [82]D. Prasad, R. Archana, V. Karthik, etc. A novel unified framework for functional verification of processors using constraint solvers[C]. in Proc. VLSI Des. Test Symp. (VDAT),2006:418-426.
    [83]Pomeranz I., Reddy S. M. A Delay Fault Model for At-Speed Fault Simulation and Test Generation[C]. Computer Aided Design 2006, ICCAD'06. IEEE/ACM International Conference.,2006:89-95.
    [84]A. Adir, E. Almog, L. Fournier, etc. Genesys-Pro:Innovations in test program generation for functional processor verification[J]. IEEE Des. Test Comput., 2004,21(2):84-93.
    [85]向东,孙家广,陈明静.采用加权扫描选通信号的基于扫描的自测试结构及方法[P].中国:发明专利,2005.
    [86]Han Yinhe, Li Xiaowei. Co-optimization for Test Data Compression and Test Power[J]. Journal of Computer aided design & Computer graphics.2005, 117(16):1307-1311.
    [87]S. Padmanaban, S. Tragoudas. Efficient identification of (critical) testable path delay faults using decision diagrams[J]. IEEE Trans. On CAD,2005, 24(1):77-87.
    [88]郑飞君,严晓浪,葛海通等.使用输出分组和电路可满足性的等价性验证算法[J].计算机辅助设计与图形学学报,2005,17(10):32-36.
    [89]曾成碧,陈光.数字电路测试生成的可满足性方法研究[J].仪器仪表学报,2001,22(1):28-31.
    [90]Li Yang, Liang Huaguo, Liu Jun, etal. LFSR reseeding based on syncopation of some test patterns[J]. Journal of Computer-Aided Design & Computer Graphics,2007,19(3):361-365
    [91]Ahmed N., Tehranipoor, M. Improving Transition Delay Test Using a Hybrid Method[J]. IEEE Design & Test of Computers,2006,23(5):402-412.
    [92]A. A. Al-Yamani, S. Mitra, E. J. McCluskey. Optimized reseeding by seed ordering and encoding[J]. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems,2005,24(2):264-270.
    [93]Mojtahedi M., Geisselhardt W. PARIS:New methods for Parallel Pattern fast fault simulation for synchronous sequential circuits[C]. In Proc. Int. Conf. Computer-Aided Design,1993:2-5.
    [94]D. Xiang, M. J. Chen, J. G. Sun etc. Improving test effectiveness of scan-based BIST using scan chain partitioning[J]. IEEE Trans. on CAD,2005, 24(6):916-927.
    [95]Dong Xiang, Kaiwei Li, Fujiwara H. etc. Generating Compact Robust and Non-Robust Tests for Complete Coverage of Path Delay Faults Based on Stuck-at Tests[C]. ICCD,2006:446-451.
    [96]张念,梁华国,易茂祥.基于折半划分的测试数据压缩方法[J].计算机应用,2007,27(12):3129-3135.
    [97]陶珏辉,梁华国,张磊.多扫描链测试集的分组标准向量压缩法计算机辅助设计与图形学学报,2007,19(6):686-691.
    [98]叶益群,梁华国,詹凯华.基于响应分块相容的测试数据编码压缩方案[J].计算机辅助设计与图形学学报,2008,20(4).
    [99]S. Venkataraman, S. Sivaraj, E. Amyeen etc. An experimental study of n-detect scan ATPG patterns on a processor[C]. in Proc.22nd VLSI Test Symp., 2004:23-28.
    [100]K. Miyase, S. Kajihara. XID:Don't care identification of test patterns for combinational circuits[J]. IEEE Trans. Comput-Aided Des. Integer. Circuits Syst.,2004,23(2):321-326.
    [101]韩银和,李晓维.测试数据压缩的测试功耗协同优化技术[J].计算机辅助设计与图形学学报,2005,117(16):1307-1311.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700