用户名: 密码: 验证码:
基于代理模型的处理器结构设计空间探索算法
详细信息    查看全文 | 推荐本文 |
  • 英文篇名:Surrogate Model Based Processor Architectural Design Space Exploration Algorithm
  • 作者:王宏伟 ; 朱子元 ; 石晶林 ; 苏泳涛 ; 石红梅 ; 刘智国
  • 英文作者:Wang Hongwei;Zhu Ziyuan;Shi Jinglin;Su Yongtao;Shi Hongmei;Liu Zhiguo;Beijing Key Laboratory of Mobile Computing and Pervasive Device;Institute of Computing Technology,Chinese Academy of Sciences;University of Chinese Academy of Sciences;
  • 关键词:设计空间探索 ; 代理模型 ; 克里金插值 ; 多目标期望改善
  • 英文关键词:design space exploration;;surrogate model;;Kriging interpolation;;multi-objective expected improvement
  • 中文刊名:XTFZ
  • 英文刊名:Journal of System Simulation
  • 机构:移动计算与新型终端北京市重点实验室;中国科学院计算技术研究所;中国科学院大学;
  • 出版日期:2017-05-08
  • 出版单位:系统仿真学报
  • 年:2017
  • 期:v.29
  • 基金:国家自然科学基金(61431001);; 国家科技重大专项基金(2015ZX03001026-002)
  • 语种:中文;
  • 页:XTFZ201705020
  • 页数:9
  • CN:05
  • ISSN:11-3092/V
  • 分类号:147-155
摘要
提出了一种新颖的基于代理模型的惩罚距离多目标期望改善(Penalty-Distance Multi-Objective Expected Improvement,PDMOEI)算法用于处理器结构设计空间探索(Design Space Exploration,DSE):利用克里金插值技术构建一个代理模型,采用基于代理模型的PDMOEI算法搜索帕雷托点集,得到关于多目标全局优化的结构参数配置。将提出的算法与MOEI(Multi-Objective Expected Improvement)算法、NSGA-II(Non-dominated Sorting Genetic Algorithm II)算法以及MA-NSGA-II(Metamodel-Assisted NSGA-II)算法,通过两组实验进行了比较。以近似帕雷托点相对于真实帕雷托点的相近程度及覆盖程度为评价指标,得出所提算法均优于其他算法。
        A novel surrogate model based penalty-distance multi-objective expected improvement(PDMOEI) algorithm was proposed for processor architectural design space exploration(DSE):first using a Kriging interpolation technique to construct a surrogate model,then adopting the surrogate model based PDMOEI algorithm to search the Pareto points and finding the globally multi-objective optimized architectural parameter configurations.The proposed algorithm was compared with the multi-objective expected improvement(MOEI) algorithm,the non-dominated sorting genetic algorithm II(NSGA-II) algorithm and the metamodel-assisted NSGA-II(MA-NSGA-II) algorithm by performing two experiments.Experimental results show that,the proposed algorithm achieves better Pareto points pursuing performance than the other algorithms in both the closeness of the obtained approximating Pareto points to the actual Pareto points and the coverage of the actual Pareto points.
引文
[1]Ziyuan Zhu,Shan Tang,Yongtao Su,et al.A 100 GOPS ASP based Baseband Processor for Wireless Communication[C]//Design,Automation&Test in Europe Conference&Exhibition(DATE),2013.Grenoble,France:IEEE,2013:121-124.
    [2]Y Zhou,Z G Pan.Impact of LPF Mismatch on I/Q Imbalance in Direct Conversion Receivers[J].IEEE Transactions on Wireless Communications(S1536-1276),2011,10(4):1702-1708.
    [3]Giuseppe Ascia,Vincenzo Catania,Maurizio Palesi.A GA-Based Design Space Exploration Framework for Parameterized System-On-A-Chip Platforms[J].IEEE Transactions on Evolutionary Computation(S1089-778X),2004,8(4):329-346.
    [4]B C Lee,D Brooks.Accurate and Efficient Regression Modeling for Microarchitectural Performance and Power Prediction[C]//Proc.of 12th Symposium on Architectural Support for Programming Languages and Operating Systems(ASPLOS).New York,USA:ACM,2006:185-194.
    [5]E?pek,S A Mc Kee,R Caruana,et al.Efficiently Exploring Architectural Design Spaces via Predictive Modeling[C]//Proc.of 12th International Conference on Architectural Support for Programming Languages and Operating Systems(ASPLOS).New York,USA:ACM,2006:195-206.
    [6]Palermo G,Silvano C,Zaccaria V.An Efficient Design Space Exploration Methodology for Multiprocessor So C Architectures based on Response Surface Methods[C]//Embedded Computer Systems:Architectures,Modeling,and Simulation,2008.SAMOS 2008.Samos,Greece:IEEE,2008:150-157.
    [7]Hongwei Wang,Ziyuan Zhu,Jinglin Shi,et al.An Accurate ACOSSO Metamodeling Technique for Processor Architecture Design Space Exploration[C]//Design Automation Conference(ASP-DAC),2015 20th Asia and South Pacific.Chiba,Japan:IEEE,2015:689-694.
    [8]Forrester A,Sóbester A,Keane A.Engineering Design via Surrogate Modelling:A Practical Guide[M].New York,USA:Wiley,2008.
    [9]Patrick J Bradley.Kriging-Pareto Front Approach for the Multi-Objective Exploration of Metamaterial Topologies[J].Progress in Electromagnetics Research M(S1937-8726),2014,39:141-150.
    [10]Yuhui Yin,Dennis K J Lin,Min-Qian Liu.Sliced Latin Hypercube Designs via Orthogonal Arrays[J].Journal of Statistical Planning and Inference(S0378-3758),2014,149:162-171.
    [11]Couckuyt Ivo,Deschrijver Dirk,Dhaene Tom.Fast Calculation of Multiobjective Probability of Improvement and Expected Improvement Criteria for Pareto Optimization[J].Journal of Global Optimization(S0925-5001),2014,60(3):575-594.
    [12]S Koziel,A Bekasiewicz,I Couckuyt.Efficient Multi-objective Simulation-Driven Antenna Design using Co-Kriging[J].IEEE Trans.Antennas Propag.(S0018-926X),2014,62(11):5900–5905.
    [13]S Xiao,M Rotaru,J K Sykulski.Adaptive Weighted Expected Improvement with Rewards Approach in Kriging Assisted Electromagnetic Design[J].IEEE Trans Magn(S0018-9464),2013,49(5):2057-2060.
    [14]Chang Jyh-Shyong,Liu Wei-Ling,Tang Jiun-Kai,et al.Expected Improvement in Efficient Experimental Design Supported by a Global Optimizer[J].Journal of the Taiwan Institute of Chemical Engineers(S1876-1070),2014,45(4):1369-1388.
    [15]Austin T,Larson E,Ernst D.Simple Scalar:An Infrastructure for Computer System Modeling[J].Computer(S0018-9162),2002,35(2):59-67.
    [16]M R Guthaus,J S Ringenberg,D Ernst,et al.Mi Bench:A free,Commercially Representative Embedded Benchmark Suite[C]//Workload Characterization,2001.WWC-4.2001 IEEE International Workshop on.Washington,DC,USA:IEEE,2001:3-14.
    [17]P M Ortego,P Sack.Super ESCalar simulator.[C]//Proceedings of 17th Euromicro Conference on Real-Time Systems,2004:1-4.
    [18]S C Woo,M Ohara,E Torrie,et al.The Splash-2Programs:Characterization and Methodological Considerations[C]//Proc.of 22th International Symposium on Computer Architecture(ISCA 95).New York,USA:ACM,1995,23(2):24-36.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700