用户名: 密码: 验证码:
基于FPGA的可重构系统及CAD技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
可重构计算同时具有软件的灵活性和硬件的高性能,是一种新型的计算模式,目前已成为一个研究热点。由于可重构计算的研究仍处于发展阶段,还面临很多问题需要解决,如在可重构应用设计过程中,缺乏便捷、通用的计算机辅助设计工具来将应用设计映射到可重构系统,包括任务划分、映射等。此外,如何缩短系统重构时间,提高可重构系统性能是可重构计算所面临的一个关键问题。本文针对这些问题开展研究,并主要完成了以下工作:
     (1)采用Xilinx公司支持二维区域部分可重构的Virtex-4 FPGA,设计了一个可重构系统硬件平台,作为研究面向可重构系统的操作系统和其他应用的硬件基础。本论文详细介绍了该硬件平台的功能结构、配置方法、以及系统的体系结构和系统开发流程。
     (2)针对可重构计算中的硬件任务划分问题,提出一种概率构造算法与遗传算法融合的算法,通过引入表示划分结果多样性的度量方法,利用概率构造算法产生具有多样性的较优的初始群体,并在此基础上利用遗传算法寻求最优解。实验表明,该算法在求解质量上高于列表算法;在同等解质量的情况下,比随机产生初始群体的遗传算法运行时间提高一倍以上,并且划分问题规模越大,优势越明显。
     (3)基于FPGA的可重构系统的重构时间与配置文件的大小直接相关,为了缩短系统重构时间,从布局的角度提出了一种缩小FPGA配置文件的算法。该算法是针对基于查找表的FPGA,并在VPR布局算法的基础上进行改进。该算法除了考虑减少连线长度和关键路径延时之外,还通过将前一个电路的查找表配置信息引入到布局算法的价格函数中,以尽量减少前后两个电路在FPGA中布局后所对应的查找表配置信息的差异。实验结果表明,当采用差量配置方式对FPGA进行配置时,该算法能缩小整个差量配置文件的长度,从而缩短系统重构时间。
     (4)为了缩短基于FPGA的可重构系统重构时间,提出了一种在差量配置模式下缩小FPGA配置文件的布线算法。在经典的FPGA布线算法考虑的主要因素(提高布线资源的利用率和减少关键路径延时)之外,该算法还考虑了前后两个电路在布线资源利用上的相关性,使后一个电路尽量重用前一个电路已使用的布线资源,以减少前后两个电路布线资源配置信息的差异。由于在配置文件中,布线资源的配置信息所占的比例一般要达到70%以上,因此在使用差量配置方式对FPGA进行配置时,就能有效地缩小整个差量配置文件的长度。该算法在VPR算法基础上进行改进,实验结果表明了该算法的有效性。
As a new computing architecture, reconfigurable computing can achieve potentially much higher performance than software, while maintain a higher level of flexibility than hardware, so it has become a hotspot of current computer architecture research field. Because the development of reconfigurable systems is still a maturing field, many problems need to be sloved. One problem is absence of computer-aided design and compilation tools that conveniently map an application to a reconfigurable computing system, including task partition and mapping. Another key problem is how to reduce the reconfiguration time to increase the performance of reconfigurable system. This paper focuses on these problems, and the main works are shown as follow:
     Firstly, a reconfigurable system prototype platform is designed by using Xilinx Virtex-4 FPGA. This platform is used as the hardware base to research operating system supporting for reconfigurable computing and other applications. The function of this platform、the configure technique、system architecture and design flow are introduced in details in the paper.
     Secondly, a partitioning algorithm is proposed to partition an entire hardware task into interconnected subtasks for reconfigurable computing. The algorithm, called PCGA, syncretizes probabilistic constructive (PC) algorithm and genetic algorithm (GA). A new approach is proposed to measure the variety of partitions, and an initial population with a variety of better individuals is produced by PC algorithm. Then, the optimal solution is captured by GA based on these initial population.The experimental results show that PCGA can get better results of graph partitioning than those list-based partitioning algorithms; for the same solution quality, the PCGA has short execution time and it is discovered that the bigger the size of partitioning problem is, the better the PCGA performs.
     Thirdly, the reconfiguration time of FPGA-based reconfigurable systems is directly related to the size of the reconfiguration bitstream. In order to reduce reconfiguration time, a placement algorithm is proposed to reduce the size of FPGA reconfiguration bitstream. The algorithm aims at LUT-based FPGAs, and is modified on the existing placement algorithm within VPR. Besides the connection length and the critical path delay, it also introduces the LUTs configuration of the previous circuit into cost function to reduce the difference of LUTs configuration for subsequent circuits at the layout level. By using difference-based partial reconfiguration design flow, the proposed approach is validated by experiments. The experimental results show that the size of reconfiguration bitstream can be reduced, and consequently, the reconfiguration time is reduced.
     Finally, in order to reduce reconfiguration time, a routing algorithm is proposed to reduce the size of FPGA reconfiguration bitstream. Besides considering the factors of effectively using FPGA routing resources and minimizing critical path delay, the algorithm also considers the relation of routing resources used by subsequent circuits. By making the most of routing resources that have been used for the previous circuit, the algorithm reduces the configuration difference of routing resources between subsequent circuits. Because about 70-90% of configuration bitstream relates to routing resources, by using difference-based design flow, the algorithm can effectively reduce the size of reconfiguration bitstream. The algorithm is modified on the existing routing algorithm within VPR, and experimental results show the availability of the algorithm.
引文
[1] Compton K. Reconfigurable Computing: A Survey of Systems and Software[J]. ACM Computing Surveys, 2002, 34(2): 171-210.
    [2] Kiran Bondalapati, Viktor K. Prasanna. Reconflgurable Computing Systems[J]. Proceedings of The IEEE. 2002, 90(7): 1201-1217
    [3] Gerald Estrin. Reconflgurable Computer Origins: The UCLA Fixed-Plus-Variable (F+V) Structure Computer[J]. IEEE Annals of the History of Computing, 2002, 24(4): 3-9.
    [4] A.DeHon. The Density Advantage of Configurable Computing[J]. Computer, 2000, 33(4):41-49.
    [5] J. M. Arnold, D. A. Buell, D. T. Hoang, D. V. Pryor, N. Shirazi and M. R. Thistle. The Splash 2 processor and applications[C]. IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1993, 482-485.
    [6] T. J. Callahan, J. R. Hauser and J. Wawrzynek, The Garp architecture and C compiler[J]. Computer, 2000, 3(4):62-69 .
    [7] M. B. Taylor, J. Kim and J. Miller, et al. The Raw microprocessor: a computational fabric for software circuits and general-purpose programs [J]. IEEE Micro, 2002, 22(2):25-35.
    [8] S. C. Goldstein, H. Schmit, M. Budiu, S. Cadambi, M. Moe and R. R. Taylor, PipeRench: a reconflgurable architecture and compiler [J]. Computer, 2000, 33(4):70-77.
    [9] Ebeling, C., Conquist, D. and Franklin, P.. RaPiD - reconflgurable pipelined datapath[J], Lect. Notes Comput. Sci. Misc., 1996, 1142:126-135.
    [10] H. Singh, L. Ming-Hau, L. Guangming, F. J. Kurdahi, N. Bagherzadeh and E. M. Chaves Filho, MorphoSys: an integrated reconflgurable system for data-parallel and computation-intensive applications[J]. IEEE Transactions on Computers, 2000,494(5):465-481.
    
    [11] Maya Gokhale, Paul S. Graham. Reconflgurable Computing: Accelerating Computing with Field-Programming Gate Arrays [M]. Springer, Netherlands, 2005
    [12] T.J. Todman, G.A. Constantinides, S.J.E. Wilton, et al. Reconflgurable computing: architectures and design methods[C]. IEE Proc.-Comput. Digit. Tech., 2005,152(2): 193-207
    [13] Dehon A, Wawrzynek J. Reconfigurable Computing: What, Why, and Implications for Design Automation[C]. Proc. 36th ACM/IEEE Conf. on Design Automation, ACM Press, 1999:610-615.
    [14] David Andrews; Douglas Niehaus; et al. Programming Models for Hybrid FPGA-CPU Computational Components: A Misssing Link[J]. Micro, IEEE Transactions, 2004,24(4): 42 -53.
    
    [15] Chameleon Systems [EB/OL]. http://www.chameleonsystems.com/
    [16] Xilinx Inc., Virtex II Datasheet [EB/OL]. http://www.xilinx.com/
    [17] A. DeHon. DPGA-coupled microprocessors: commodity ICs for the early 21st Century[C]. IEEE Workshop on FPGAs for Custom Computing Machines, 1994: 31-39.
    [18] M. Hariyama, S. Ogata and M .Kameyama. A Multi-Context FPGA Using Floating-Gate-MOS Functional Pass-Gates [J]. IEICE Transactions on Electronics, 2006 E89-C(11):1655-1661.
    [19] J. R. Hauser and J. Wawrzynek. Garp: a MIPS processor with a reconfigurable coprocessor[C]. The 5th Annual IEEE Symposium on FPGAs for Custom Computing Machines, 1997:12-21.
    [20] Hauck, S., FRY, T. W., Hosier, M. M., and Kao, J. P. The Chimaera reconfigurable functional unit[C]. IEEE Symposium on Field-Programmable Custom Computing Machines, 1997:87-96.
    [21] Christophe Bobda. Introduction to Reconfigurable Computing :Architectures, Algorithms,and Applications[M]. Published by Springer, 2007.
    [22] Atmel Inc., AT40K series Configuration Datasheet [EB/OL]. http://www.atmel. com/.
    [23] Lattice Inc., ORCA Series 4 FPGAs: Dataheet[EB/OL]. http://www. lattice.com/.
    
    [24] P. Sedcole, B. Blodget, T. Becker, J. Anderson and P. Lysaght. Modular dynamic reconfiguration in Virtex FPGAs[C]. IEE Proc.-Comput. Digit. Tech., 2006, 153(3): 157- 164.
    [25] Xilinx Inc., Virtex series configuration architecture user guide, Application Note 151, 2004[EB/OL]. http://www.xilinx.com/.
    [26] Xilinx Inc. Virtex-4 configuration guide, UG 071, v1.1, 2004[EB/OL]. http://www.xilinx.com/.
    [27] S. Guccione, D. Levi and P. Sundararajan. JBits: A Java-Based Interface for Reconfigurable Computing[C]. Annual Military and Aerospace Applications of Programmable Devices and Technologies Conference, 1999.
    [28] Xilinx Inc. Two Flows for Partial Reconfiguration: Module Based or Difference Based[EB/OL]. http:// www.xilinx.com/bvdocs/appnotes/xapp290.pdf.
    [29] Xilinx Inc., Early access partial reconfiguration user guide, xilinx User Guide UG208, Version 1.1, March 6,2006 [EB/OL]. http://www.xilinx.com/.
    [30] Lysaght, P., Blodget, B., Mason, J., Young, J., Bridgford, B., Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs[C]. International Conference on Field Programmable Logic and Applications (FPL '06), 2006:1-6.
    [31] Horta, EX., Lockwood, J.W., and Kofuji, S., Using PARBIT to implement partial run-time reconfigurable systems [J]. Field-Programmable Logic and Applications, September 2002, (Springer-Verlag), pp. 182-191.
    [32] M. Porrmann H. Kalte, G Lee and U. Riuckert. Replica: A bitstream manipulation filter for module relocation in partial reconfigurable systems [C]. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05),2005.
    [33] Elena Perez-Ramo, Javier Resano, Daniel Mozos. Reducing the reconfiguration overhead: a survey of techniques[C]. The 2007 International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'07), June 2007. pp.191-194.
    [34] R. Hartenstein. A decade of reconfigurable computing: A visionary retrospective[C]. DATE'01, 2001: 642-649.
    [35] S. Hauck, Z. Li, and E. J. Schwabe. Configuration compression for the Xilinx XC6200 FPGA [J]. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 1999, 18: 1107-1113
    [36] Z. Li, S Hauck. Configuration Compression for Virtex FPGAs[C]. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01), 2001:147-159.
    [37] I. Kennedy. Exploiting Redundancy to Speedup Reconfiguration of an FPGA[C]. Field-Programmable Logic and Applications (FPL'03), 2003: 262-271.
    [38] J. H. Pan, T Mitra, WF Wong. Configuration Bitstream Compression for Dynamically Reconfigurable FPGAs[C]. ICCAD'04, 2004: 766- 773.
    [39] Andreas Dandalis and Viktor K. Prasanna. Configuration Compression for FPGA-Based Embedded Systems[J]. IEEE Transactions on Very Large Scale Integration Systems,2005,13(12):1394-1398
    [40]F.Mehdipour,M.Zamani and H.Ahmadifar et al.Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework[C].20th Int.Symp.Parallel and Distributed Processing,2006:1-8.
    [41]M.Rullmann and R.Merker.A Reconfiguration Aware Circuit Mapper for FPGAs[C].International Parallel and Distributed Processing Symposium,2007:1-8.
    [42]L.Singhal and E.Bozorgzadeh.Multi-layer Floorplanning on a Sequence of Reconfigurable Designs[C].International Conference on Field Programmable Logic and Applications,2006.
    [43]P.Stepien,M.Vasilko.On Feasibility of FPGA Bitstream Compression During Placement and Routing[C].International Conference on Field Programmable Logic and Applications(FPL'06),2006:1-4.
    [44]Heng Tan and DeMara,R.F..A Physical Resource Management Approach to Minimizing FPGA Partial Reconfiguration Overhead[C].IEEE International Conference on Reconfigurable Computing and FPGA's,2006:1-5.
    [45]R.Maestre,F.J.Kurdahi and N.Bagherzadeh,et al.Kernel Scheduling in Reconfigurable Computing[C],Proceedings of the conference on Design,automation and test in Europe(DATE'99),1999:90-95.
    [46]L.Shang and N.K.Jha.Hw/Sw Co-synthesis of Low Power Real-Time Distributed Embedded Systems with Dynamically Reconfigurable FPGAs[C].ASP-DAC'02,2002:345-360.
    [47]K.M.Gajjala Purna and D.Bhatia.Temporal partitioning and scheduling data flow graphs for reconfigurable computers[J].IEEE Transactions on Computers,1999,48(6):579-590.
    [48]Y.Qu,J.Soininen,J..Nurmi.A Parallel Configuration Model for Reducing the Run-Time Reconfiguration Overhead[C].DATE'06,2006:965-969.
    [49]M.Sanchez-Elez,H.Du,N.Tabrizi,Y.Long,N,et al.Algorithm Optimizations and Mapping Scheme for Interactive Ray Tracing on a Reconfigurable Architecture[J].Computer & Graphics,2003,27(1):701-713.
    [50]梁樑,周学功,王颖,彭澄廉.采用预配置策略的可重构混合任务调度算法[J].计算机辅助设计与图形学学报,2007,19(5):635-641.
    [51]Z.Li,S.Hauck.Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation[C]. IEEE ACM International Symposium on Field-Programmable Gate Arrays, Monterey, CA, 2002:187-195.
    [52] Z. Li, K. Compton, S. Hauck, et al., Configuration Cache Management Techniques for FPGAs [C]. IEEE FCCM'00, 2000: 22-36.
    [53] J. Resano, D. Mozos, D Verkest, F Catthoor. A Reconfiguration Manager for Dynamically Reconfigurable Hardware[J]. IEEE Design&Test, 2005,22(5): 452-460.
    [54] S. Trimberger, D Carberry, A Johnson, J Wong. A time multiplexed FPGA [C]. FCCM, 1997: 22-29.
    [55] D. I. Lehn, K. Puttegowda, J. H. Park, et al., Evaluation of Rapid Context Switching on a CSRC Device[C]. International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'02), 2002:209-215.
    [56] Fernando Gehm Moraes and Daniel Mesquita. Development of a Tool-Set for Remote and Partial Reconfiguration of FPGAs[C]. Proceedings of the Design,Automation and Test in Europe Conference and Exhibition (DATE'03). 2003.
    [57]D. V. Pryor, M. R. Thistle and N. Shirazi. Text searching on Splash 2[C]. IEEE Workshop on FPGAs for Custom Computing Machines, 1993:172-177.
    [58]M. Rencher and B. L. Hutchings. Automated target recognition on SPLASH 2[C]. The 5th Annual IEEE Symposium on FPGAs for Custom Computing Machines, 1997: 192-200.
    [59]D. T. Hoang. Searching genetic databases on Splash 2[C]. Proceedings.IEEE Workshop on FPGAs for Custom Computing Machines, 1993: 185-191.
    [60] J. M. Arnold. The Splash 2 software environment[C]. IEEE Workshop on FPGAs for Custom Computing Machines, 1993: 88-93.
    [61] Waingold, E.; Taylor, M.; Srikrishna, D.,et al., Sarkar, Baring it all to Software: The Raw Machine[J]. Computer, 1997, 30(9): 86-93.
    [62] S. C. Goldstein, H. Schmit, M. Moe, M. Budiu, S. Cadambi, R. R. Taylor and R. Laufer. PipeRench: a coprocessor for streaming multimedia acceleration[C]. Proceedings of the 26th International Symposium on Computer Architecture, 1999: 28-39.
    [63] H. Kagotani and H. Schmit. Asynchronous PipeRench: architecture and performance evaluations [C]. 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003:121-129.
    [64]H.Schmit,D.Whelihan,A.Tsai,M.Moe,B.Levine and R.Reed Taylor.PipeRench:A virtualized programmable datapath in 0.18 micron technology[C].Proceedings of the IEEE Custom Integrated Circuits Conference,2002:63-66.
    [65]C.Yuan,P.Pillai,H.Schmit and J.P.Shen.PipeRench implementation of the Instruction Path Coprocessor[C].33rd Annual IEEE/ACM International Symposium on Microarchitecture,2000:147-158.
    [66]Mateusz Majer and Jurgen Teich.The Erlangen Slot Machine:A Dynamically Reconflgurable FPGA-based Computer[J].Journal of VLSI Signal Processing,2007,47:15-31.
    [67]Herbert Walder and Marco Platzner.Reconfigurable Hardware Operating Systems:From Design Concepts to Realizations[C].The 3rd International Conference on Engineering of Reconfigurable Systems and Architectures (ERSA'03).2003.Las Vegas,USA.
    [68]V.J.Mooney and D.M.Blough,A hardware-software real-time operating system framework for SoCs[J].Design & Test of Computers,2002,19:44-51.
    [69]Wigley.An Operating System for Reconfigurable Computing[E].The Unversity of South Australia,2005.
    [70]Purna K M G,Bhatia D.Temporal partitioning and scheduling data flow graphs for reconfigurable computers[J].IEEE Transactions on Computers,1999,48(6):579-590.
    [71]Kocan F.Reconfigurable randomized K-way graph partitioning[C].Proceedings of Euromicro Symposium on Digital System Design,Antalya,2003:272-278.
    [73]Juanjo Noguera,Rosa M Badia.HW/SW codesign techniques for dynamically reconfigurable architectures[J].IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2002,10(4):399-415.
    [74]Srinivasan V,Govindarajan S,Vemuri R.Fine-grained and coarse-grained behavioral partitioning with effective utilization of memory and design space exploration for multi-FPGA architectures[J].IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2001,9(1):140-158.
    [75]Hidalgo J I,Baraglia R,Perego R,et al.A parallel compact genetic algorithm for multi-FPGA partitioning[C].Proceedings of Ninth Euromicro Workshop on Parallel and Distributed Processing,Mantova,2001:113-120.
    [76]Wong J L,Koushanfar F,Megerian S,et al.Probabilistic constructive optimization techniques[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2004,23(6):859-868.
    [77]Kamidoi Y,Wakabayashi S,Yoshida N.Faster algorithms for finding a minimum K-way cut in a weighted graph[C].Proceedings of 1997 IEEE International Symposium on Circuits and Systems,Hong Kong,1997:1009-1012.
    [78]陈振强,徐宝文.一种基于依赖性分析的类内聚度度量方法[J].软件学报,2003,14(11):1849-1856.
    [79]Vinoo Srinivasan,Ranga vemuri.An integrated task graph partitioning and rtl design space exploration system for multi-FPGA architectures[C].Proceedings of 7th IEEE Symposium on Field-Programmable Custom Computing Machines,Napa,1999:1-8.
    [80]Wu K.,Madsen J..Run-time dynamic reconfiguration:a reality check based on FPGA architectures from Xilinx.Proceedings of the 23rd NORCHIP Conference,Finland,2005:192-195
    [81]Mehdipour F.,Zamani M.S.and Sedighi M..Reducing inter-configuration memory usage and performance improvement in recortfigurable computing systems[C].Proceedings of the 2005 8th Euromicro conference on Digital System Design(DSD'05),2005:372-378.
    [82]V.Betz and J.Rose.VPR:A New Packing,Placement and Routing Tool for FPGA Research[C].Proc.7th International Workshop on Field-Programmable Logic and Applications,Oxford,UK,1997:213-222.
    [83]Singhal,L.and Bozorgzadeh,E..Physically-aware exploitation of component reuse in a partially reconfigurable architecture[C].20th International Parallel and Distributed Processing Symposium(IPDPS 2006),April,2006.
    [84]Raghuraman K.,Haibo Wang and Tragoudas S..Minimizing FPGA reconfiguration data at logic level[C].Proceedings of the 7th International Symposium on Quality Electronic Design(ISQED'06),March,2006.
    [85]K.Prasad Raghuraman,Haibo Wang and S.Tragoudas.A Novel Approach to Minimizing Reconfiguration Cost for LUT-Based FPGAs[C].18th International Conference on VLSI Design,2005:673-676.
    [86]U.Malik and O.Diessel.On the Placement and Granularity of FPGA Configurations[C].IEEE International Conference on Field-Programmable Technology,2004:161-168
    [87]A.Marquardt,V.Betz,and J.Rose.Timing-driven placement for FPGAs[C].Proc.ACM Int.Symp.,2000:203-213.
    [88]P.Maidee,C.Ababei and K.Bazargan.Timing-driven partitioning-based placement for island style FPGAs[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2005,24(3):395-406.
    [89]A.Sharma,S.Hauck and C.Ebeling.Architecture-adaptive routability-driven placement for FPGAs[C].International Conference on Field Programmable Logic and Applications,2005:427-432.
    [90]周锋,童家榕,唐璞山.一种带时延约束的FPGA布局算法[J].计算机辅助设计与图形学学报,1999,11(4):304-308.
    [91]E.Sentovich et al,SIS:A system for sequential circuit synthesis[E],Tech.Reprot No.UCB/ERL M92/41,University of California,Berkeley,1992.
    [92]J.Cong and Y.Ding.Flowmap:An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs[J].IEEE Trans.Computer-Aided Design,1994,13(1):1-12.
    [93]Weifeng Xu.VPR for Virtex + JBits Interface[EB/OL].http://www-unix.ecs.umass.edu/~wxu/jbits/.
    [94]Xilinx Inc.Development System Reference Guide,2005[EB/OL].http://www.xilinx.com/.
    [95]Xilinx Inc.JBits 3.0 SDK for Virtex-Ⅱ[EB/OL].http://www.xilinx.com/labs/projects/jbits/
    [96]Gu Haiyun,Xu juyan.Research on the routing algorithm of SRAM-based FPGA.Proceedings of the 7th International Conference on Solid-State and Integrated Circuits Technology,2004:1964-1966
    [97]Deepak Rautela and Rajendra Katti.Design and Implementation of FPGA Router for Efficient Utilization of Heterogeneous Routing Resources[C].Proceedings of the IEEE Computer Society Annual Symposium on VLSI,2005:232-237.
    [98]Gi-Joon Nam,Karem A.Sakallah and Rob A.Rutenbar.A New FPGA Detailed Routing Approach Via Search-Based Boolean Satisfiability[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2002,21(6):674-684.
    [99]Betz V,Rose J.Directional Bias and Non-Uniformity in FPGA Global Routing Architectures[C].Proceedings of IEEE/ACM International Conference on Computer-Aided Design,San Jose,1996:652-659.
    [100]Y.-W.Chang,S.Thakur,K.Zhu,and D.F.Wong.A new global routing algorithm for FPGAs[C].Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design,1994:356-361.
    [101]C.Yao-Wen and C.Yu-Tsang.An architecture-driven metric for simultaneous placement and global routing for FPGAs[C].Proceedings of the 37th Conference on Design Automation(DAC'00),2000:567-572.
    [102]Daler Rakhmatov,Sarma B.K.Vrudhula.Minimizing Routing Configuration Cost in Dynamically Reconfigurable FPGAs[C].Proceedings of Parallel and Distributed Processing Symposium,San Francisco,California,2001:1481-1488.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700