用户名: 密码: 验证码:
SRAM型FPGA的单粒子效应评估技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
SRAM型FPGA的单粒子效应是近年来星载电子设备失效的主要原因之一。配置存储器中的单粒子翻转有可能彻底改变电路的结构,导致系统功能持续出错。这种特点使得FPGA中的单粒子效应分析起来更为复杂,如何准确评价器件对单粒子效应的敏感程度以及分析单粒子翻转对用户电路造成的影响就成了一个值得研究的问题。本文针对这一问题开展了以下研究:
     (1)根据FPGA的结构特点,提出将其中的单粒子效应分为器件与应用两个层面进行分析。用传统的翻转截面表征器件本身对单粒子效应的敏感程度,用动态翻转截面来预估由FPGA实现的系统在空间中的失效率。并且明确定义了“敏感位”的概念,指出了敏感位与两种翻转截面的数值关系,建立了辐照试验与模拟方法之间的桥梁。
     (2)研制了一套通用的FPGA辐射效应测试系统。系统能够对FPGA的配置存储器、块存储器、系统功能、功耗电流等重要辐射敏感参数进行实时监测。利用该系统在北京串列加速器上开展了多次单粒子效应试验,获得了多种器件的单粒子翻转截面曲线,并且针对几个测试电路的动态单粒子特性进行了试验研究。
     (3)加速器束流时间有限、价格昂贵,不宜用于整机单粒子效应的考核。本文研究了一种通过主动向FPGA的配置存储器中写入错误以模拟单粒子效应的故障注入方法。这种方法能够对任意一个设计中的敏感位进行精确定位,因此可以用来预估系统的失效率以及验证各种容错方法的有效性。
     (4)利用JBits类库对Xilinx典型的Virtex系列FPGA进行了配置文件的反向解码,得到了配置位的偏移地址与其控制的可编程资源之间的对应关系。这种对应关系从底层揭示了单粒子翻转在用户电路中造成的失效模式,为FPGA单粒子失效分析程序的开发奠定了基础。
     (5)提出了一种全新的FPGA单粒子软错误分析方法。这种方法利用面向对象方式对真实的器件结构进行建模,解决了单粒子翻转在系统中传递的问题。论文工作中开发的原型程序能够读入电路设计的网表文件,解析用到的可编程资源,并且根据这些资源的失效模式以及冗余情况确定可能影响该电路拓扑结构的敏感逻辑和路径,最后从解码的数据库中找出这些结构对应的配置位。这样不仅可以得到该设计的敏感位,而且从微观上阐明了系统失效的根本原因。
Single-Event Upset in SRAM-based FPGAs is an important threat for spaceelectronic systems. The upsets in FPGA’s configuration memory may alter circuitstructures and lead to persistent functional error. This phenomenon is much morecomplicated than upsets in traditional memory devices. How to evaluate this kind ofeffect has become a major concern. The contributions of this paper include:
     (1) A two-layer model is proposed. The static upset cross section of physical layeris adopted to describe the sensitivity of different device series, and the dynamic crosssection of application layer is used for predicting the system failure rate on certain orbits.The concept of sensitive bits is defined to build a connection between the two crosssections, as well as the results of irradiation test and simulation methods.
     (2) The hardware and software platform is developed for testing radiation effectsin SRAM-based FPGAs. The internal memory upsets, circuit function and powercurrent can be monitored during the irradiation test. Accelerator experiment has beendone on HI-13. The static cross sections for several device series were measured and thedynamic Single-Event behaviors for some benchmark circuits were also studied.
     (3) Due to the limitation of beam time and expense, it is not wise to assess thereliability for systems implemented on SRAM-based FPGAs using accelerators. A faultinjection system is established to simulate SEUs in FPGA’s configuration memory. Thismethod is able to find all the sensitive bits in a certain design. Therefore, it can be usedto predict the dynamic cross section and verify the effectiveness of redundant strategies.
     (4) JBits SDK is adopted to decode the bitstream of Xilinx Virtex FPGA series.The decoded results find the relationship between programmable structures andaddresses in the bitstream. It also identifies different failure modes induced by SEUs,which constitutes the basis of soft error analysis tool in the following sections.
     (5) A soft error analysis tool is proposed. The structures inside an FPGA aremodeled using Objective-Oriented way. The programs read in the netlist of circuitdesigns to get the configured resources and their logic states, and search for all thecritical nodes and routings which might destroy the circuit structure. The sensitive bitscan be queried from the database containing the decoded results.
引文
[1]薛小刚,葛毅敏. Xilinx ISE9.X FPGA/CPLD设计指南.北京:人民邮电出版社,2007:1-10.
    [2]高海霞.基于SRAM技术的现场可编程门阵列器件设计技术研究[博士学位论文].西安:电子科技大学,2005.
    [3]刘宇峥.一款FPGA可编程逻辑块的全定制设计[硕士学位论文].西安:电子科技大学,2010.
    [4] Johnson E, Caffrey M, Graham P, et al. Accelerator validation of an FPGA SEU simulator.IEEE Transactions on Nuclear Science,2003,50(6):2147-2157.
    [5] Katz R, Barto R, McKerracher P, et al. Radiation effects on current field programmabletechnologies. IEEE Transactions on Nuclear Science,1997,44(6):1945-1956.
    [6] Katz R, Wang J.J, Koga R, et al. Current radiation issues for programmable elements anddevices. IEEE Transactions on Nuclear Science,1998,45(6):2600-2610.
    [7] Wang J. J, Wong W, Wolday S, et al. Single Event Upset and Hardening in0.15umAntifuse-Based Field Programmable Gate Array. IEEE Transactions on Nuclear Science,50(6):2158-2166.
    [8]袁国火,杨怀民,徐曦,等.微电路FPGA的γ电离总剂量效应与加固技术.强激光与粒子束,2006,18(3):487-490.
    [9] Xilinx, Inc. Radiaton effects and mitigation overview.2002, http://www.xilinx.com
    [10] Vaughn B, Jonathan R, Alexander M. Architecture and CAD for Deep-submicron FPGAs.Kluwer Academic Publishers,1999:1-9.
    [11] Binder D, Smith E, Holman A. Satellite Anomalies from Galactic Cosmic Rays. IEEETransaction on Nuclear Science,1975,22:2675.
    [12] May T and Woods M. Alpha Particle Induced Soft Errors in Dynamic Memories. IEEETransactions on Electronic Devices,1979,26(2).
    [13]梁斌.数字集成电路中单粒子瞬态脉冲的产生与传播[博士学位论文].长沙:国防科技大学,2008.
    [14]刘必慰.集成电路单粒子效应建模与加固方法研究[博士学位论文].长沙:国防科技大学,2009.
    [15] Xapsos M. Modeling the space radiation environment. Proceedings of the Nuclear and SpaceRadiation Effects Conference(NSREC Short Course),2006, Florida, USA.
    [16] Barth J L. The Evolution of the Radiation Environments. Proceedings of the10th EuropeanConference on Radiation and Its Effects on Components and Systems(RADECS ShortCourse),2009, Brugge, Belgium.
    [17] Dodd P. Basic Mechanisms for Single Event Effects. Proceedings of the Nuclear and SpaceRadiation Effects Conference(NSREC Short Course),1999, Virginia, USA.
    [18] Baumann R. Single Event Effects in Advanced CMOS Technology. Proceedings of theNuclear and Space Radiation Effects Conference(NSREC Short Course),2005, Seattle, USA.
    [19] Law M. Device Modeling of Single Event Effects. Proceedings of the Nuclear and SpaceRadiation Effects Conference(NSREC Short Course),2006, Florida, USA.
    [20] Black J, Holman T. Circuit Modeling of Single Event Effects. Proceedings of the Nuclear andSpace Radiation Effects Conference(NSREC Short Course),2006, Florida, USA.
    [21] Petersen E, Soft Error Results Analysis and Error Rate Prediction. Proceedings of the Nuclearand Space Radiation Effects Conference(NSREC Short Course),2008, Tucson Arizona, USA.
    [22] Fuller E, Caffrey M, Blain P, et al. Radiation Test Results of the Virtex FPGA and ZBTSRAM for Space Based Reconfigurable Computing. Proceedings of the Military&AerospaceApplications of Programmable Logic Devices(MAPLD),1999, Laurel MD, USA.
    [23] Fuller E, Caffrey M, Salazar A, et al. Radiation Testing Update, SEU Mitigation, andAvailability Analysis of the Virtex FPGA for Space Reconfigurable Computing.2000,http://www.xilinx.com/esp/aero/def/aero_def_app.htm
    [24] Graham P, Caffrey M, Zimmerman J, et al. Consequences and Categories of SRAM FPGAConfiguration SEUs. Proceedings of the Military&Aerospace Applications of ProgrammableLogic Devices(MAPLD),2003, Washington DC, USA.
    [25] Ceschia M, Violante M, Reorda M, et al. Identification and classification of Single-EventUpsets in the configuration memory of SRAM-based FPGAs. IEEE Transactions on NuclearScience,2003,50(6):2088-2094.
    [26] Lima K F. SEE Mitigation Strategies for Digital Circuit Design Applicable to ASIC andFPGAs. Proceedings of the Nuclear and Space Radiation Effects Conference(NSREC ShortCourse),2007, Honolulu Hawaii, USA.
    [27] Graham P, Caffrey M, Johnson E, et al. SEU mitigation for half-latches in Xilinx VirtexFPGAs. IEEE Transactions on Nuclear Science,2003,50(6):2139-2146.
    [28] Caffrey M, Graham P, Johnson E, et al. Single-Event Upsets in SRAM FPGAs. Proceedingsof the Military&Aerospace Applications of Programmable Logic Devices(MAPLD),2002,Laurel MD, USA.
    [29] Carmichael C, Fuller E, Blain P, et al. SEU Mitigation Techniques for Virtex FPGAs in SpaceApplications.1999, http://www.xilinx.com
    [30] Xilinx, Inc. Triple Module Redundancy Design Techniques for Virtex FPGAs. XilinxApplication Note XAPP197,2006, http://www.xilinx.com/support/documentation/application_notes/
    [31] Carmichael C, Brinkley P E, Techniques for Mitigating, Detecting and Correcting SingleEvent Upset Effects in Systems Using SRAM-based Field Programmable Gate Arrays: US,7036059B1,2006.
    [32] Xilinx, Inc. Xilinx TMRTool User Guide,2006, http://support.xilinx.com/products/milaero/ug156.pdf
    [33] Pratt B, Caffrey M, Carroll J, et al. Fine Grain SEU Mitigation for FPGAs Using Partial TMR.IEEE Transaction on Nuclear Science,2008,55(4):2274-2280.
    [34] Morgan K, Caffrey M, Graham P, et al. SEU-Induced Persistent Error Propagation in FPGAs.IEEE Transaction on Nuclear Science,2005,52(6):2438-2445.
    [35] Brigham Young University Configurable Computing Lab, BYU-LANL Triple ModularRedundancy Usage Guide,2009, http://reliability.ee.byu.edu/edif
    [36] Morgan K, McMurtrey D, Pratt B, et al. A Comparison of TMR With Alternative FaultTolerant Design Techniques for FPGAs. IEEE Transaction on Nuclear Science,2007,55(6):2065-2071.
    [37] Xilinx, Inc. Correcting Single-Event Upsets Through Virtex Partial Configuration. XilinxApplication Note XAPP216,2000, http://www.xilinx.com/support/documentation/application_notes/
    [38] Berg M, Poivey C,Petrick D, et al. Effectiveness of Internal Versus External SEU ScrubbingMitigation Strategies in a Xilinx FPGA: Design, Test, and Analysis. IEEE Transactions onNuclear Science,2008,55(4):2259-2266.
    [39] Violante M, Sterpone L, Ceschia M, et al. Simulation-based analysis of SEU effects inSRAM-based FPGAs. IEEE Transactions on Nuclear Science,2004,51(6):3354-3359.
    [40] Johnson E. Esitmating the Dynamic Sensitive Cross Section an FPGA design through FaultInjection[Master Degree Thesis]. Utah: Department of Electrical and Computer Engineering,Brigham Young University,2005.
    [41] Wirthlin M, Johnson E, Rollins N, et al. The Reliability of FPGA Circuit Designs in thePresence of Radiation Induced Configuration Upsets. Proceedings of the11th Annual IEEESymposium on Field-Programmable Custom Computing Machines (FCCM),2003.
    [42] Yui C, Swift G, Carmichael C, et al. SEU mitigation testing of Xilinx Virtex II FPGAs.Proceedings of the Military&Aerospace Applications of Programmable LogicDevices(MAPLD),2003.
    [43] Manuzzato A, Gerardin S, Paccagnella A, et al. Effectiveness of TMR-Based Techniques toMitigate Alpha-Induced SEU Accumulation in Commercial SRAM-Based FPGAs. IEEETransactions on Nuclear Science,2008,55(4):1968-1973.
    [44]谭兰芳.面向单粒子效应的软件故障注入技术研究[硕士学位论文].长沙:国防科技大学,2008.
    [45]朱鹏.星载SAR控制软件故障注入技术研究[硕士学位论文].北京:中国科学院电子学研究所,2004.
    [46]绳伟光,肖立伊,毛志刚.组合逻辑的软错误率自动分析平台.计算机辅助设计与图形学学报,2009,21(11):1662-1666.
    [47]绳伟光,肖立伊,毛志刚.用于电路级仿真软故障注入的自动化方法.计算机辅助设计与图形学学报,2009,21(3):346-353.
    [48]路遥.基于HDL的故障注入工具的研究与实现[硕士学位论文].长沙:国防科学技术大学,2007.
    [49] Lima F, Rezgui S, Carro L, et al. On the use of VHDL simulation and emulation to deriveerror rates. Proceedings of the6th European Conference on Radiation and Its Effects onComponents and Systems(RADECS),2001.
    [50] Lopez C, Garcia M, Portela M, et al. Autonomous Fault Emulation A New FPGA-BasedAcceleration System for Hardness Evaluation. IEEE Transactions on Nuclear Science,2007,54(1):252-261.
    [51] Rezgui S, Velazco R, Ecoffet R, et al. Estimating error rates in processor-based architectures.IEEE Transactions on Nuclear Science,2001,48(5):1680-1687.
    [52] Velazco R, Rezgui S, Ecoffet R, et al. Predicting error rate for microprocessor-based digitalarchitectures through C.E.U. Code Emulating Upsets injection. IEEE Transactions on NuclearScience,2000,47(6):2405-2411.
    [53] Johnson E, Wirthlin M, Caffrey M. Single-Event Upset Simulation on an FPGA. Proceedingsof the Engineering of Reconfiguable Systems and Algorithms (ERSA),2002, Las Vegas,Nevada, USA.
    [54] Alderighi M, Casini F, Weigand S, et al. Evaluation of Single Event Upset MitigationSchemes for SRAM based FPGAs using the FLIPPER Fault Injection Platform. Proceedingsof the22nd IEEE International Symposium on Defect and Fault Tolerance in VLSISystems(DFT),2007.
    [55] Alderighi M, Casini F, Sergio M, et al. A tool for injecting SEU-like faults into theconfiguration control mechanism of Xilinx Virtex FPGAs. Proceedings of the18th IEEEDefect and Fault Tolerance in VLSI Systems,2003.
    [56] Alderighi M, Casini F, Sergio M, et al. Soft Errors in SRAM-FPGAs A Comparison of TwoComplementary Approaches. IEEE Transactions on Nuclear Science,2008,55(5):2267-2273.
    [57] Lima F, Carmichael C, Fabula J, et al. A fault injection analysis of Virtex FPGA TMR designmethodology. Proceedings of the6th European Conference on Radiation and Its Effects onComponents and Systems(RADECS),2001.
    [58] Sterpone L,Violante M. A New Partial Reconfiguration-Based Fault-Injection System toEvaluate SEU Effects in SRAM-based FPGAs. IEEE Transactions on Nuclear Science,2007,54(4):965-970.
    [59] Violante M, Sterpone L, Ceschia M, et al. Simulation-based analysis of SEU effects inSRAM-based FPGAs. IEEE Transactions on Nuclear Science,2004,51(6):3354-3359.
    [60] Sterpone L,Violante M. A new analytical approach to estimate the effects of SEUs in TMRarchitectures implemented through SRAM-based FPGAs. IEEE Transactions on NuclearScience,2005,52(6):2217-2223.
    [61] Sterpone L,Violante M. Static and Dynamic Analysis of SEU effects in SRAM-based FPGAs.Proceedings of the2th IEEE Test Symposium(ETS),2007.
    [62] Sterpone L, Violante M, Sorensen H, et al. Experimental Validation of a Tool for Predictingthe Effects of Soft Errors in SRAM-Based FPGAs. IEEE Transactions on Nuclear Science,2007,54(6):2576-2583.
    [63] Quinn H, Graham P, Pratt B. An Automated Approach to Estimating Hardness AssuranceIssues in Triple-Modular Redundancy Circuits in Xilinx FPGAs. IEEE Transactions onNuclear Science,2008,55(4):3070-3076.
    [64] Quinn H, Morgan K, Graham P, et al. Domain Crossing Errors Limitations on Single DeviceTriple-Modular Redundancy Circuits in Xilinx FPGAs. IEEE Transactions on NuclearScience,2007,54(6):2037-2043.
    [65]姚志斌,张凤祁,何宝平,等.静态随机访问存储器型现场可编程门阵列辐照效应测试系统研制.强激光与粒子束,2009,21(5):749-754.
    [66]姚志斌,何宝平,张凤祁,等. SRAM型FPGA总剂量效应实验研究.核技术,2009,32(12):936-939.
    [67] Xilinx, Inc. Virtex FPGA Series Configuration and Readback. Xilinx Application NoteXAPP138,2006, http://www.xilinx.com/support/documentation/application_notes/
    [68] Morgan K. SEU-induced Persistent Error Propagation in FPGAs.[Master Degree Thesis].Utah: Department of Electrical and Computer Engineering, Brigham Young University,2006.
    [69] Asadi G, Miremadi S.G, Zarandi, H.R. Fault injection into SRAM-based FPGAs for theanalysis of SEU effects. Proceedings of the IEEE International Conference on FieldProgrammable Technology (FPT),2003.
    [70]周盛雨.基于FPGA的动态部分重构系统实现[博士学位论文].北京:中科院空间科学与技术应用研究中心,2006.
    [71]陈伟男.基于FPGA的可重构系统及CAD技术研究[博士学位论文].上海:复旦大学信息科学与工程学院,2008.
    [72] Matthias D, Christian P, Marco P. Partially Reconfigurable Cores for Xilinx Virtex.Proceedings of the4th Southern Conference on Programmable Logic.2008, San Carlos deBariloche.
    [73] Davin L, Mike P. Difference-Based Partial Reconfiguration. Xilinx Application NoteXAPP290,2007, http://www.xilinx.com/support/documentation/application_notes/
    [74] Xilinx, Inc. Early Access Partial Reconffiguration User Guide. Xilinx User Guide, UG208,2006, http://www.xilinx.com/support/documentation/user_guides/
    [75] Xilinx, Inc. The JBits2.8SDK for Virtex.2001, http://www.xilinx.com/labs/projects/jbits/
    [76] Xilinx, Inc. Virtex Series Configuration Architecture User Guide. Xilinx Application NoteXAPP151,2004, http://www.xilinx.com/support/documentation/application_notes/
    [77] Jean N, Eric R. From the bitstream to the netlist. Debit reference implementation,2006,http://www.ulogic.org
    [78] Patterson C, Guccione S. JBits Design Abstractions. Proceedings of the9th Annual IEEESymposium on Field-Programmable Custom Computing Machines(FCCM'01),2001.
    [79] Singh S, James P. Lava and JBits: From HDL to Bitstream in Seconds. Proceedings of the9thAnnual IEEE Symposium on Field-Programmable Custom Computing Machines(FCCM'01),2001.
    [80] Lima K, Sterpone L, Carro L, et al. Optimal Design of Triple Module Redundancy Logic forSRAM-based FPGAs. Proceedings of the Design, Automation and Test in Europe Conferenceand Exhibition(DATE'05),2005.
    [81] Sterpone L, Violante M. A New Reliability-Oriented Place and Route Algorithm for SRAMBased FPGAs. IEEE Transactions on Computers,2006,55(6):732-744.
    [82] Caio Filho, Lima K, Luigi C. Improving Reliability of SRAM-Based FPGAs by InsertingRedundant Routing. IEEE Transaction on Nuclear Science,2006,53(4):2060-2068.
    [83] MySQL AB. MYSQL5.1Reference Manual.2006, http://dev.mysql.com/downloads/
    [84] Sun Microsystems, Inc. The Java Tutorial.2009, http://java.sun.com/docs/books/tutorial/
    [85] Bridgford B, Carmichael C, Chen W T. Single-Event Upset Mitigation Selection Guide.Xilinx Application Note XAPP987,2008, http://www.xilinx.com/support/documentation/application_notes/
    [86] Sterpone L, Violante M. A New Algorithm for the Analysis of the MCUs Sensitiveness ofTMR Architectures in SRAM-Based FPGAs. IEEE Transactions on Computers,2008,55(4):2019-2027.
    [87] Battezzati N, Sterpone L, Violante M. Monte Carlo Analysis of the Effects of Soft ErrorsAccumulation in SRAM-Based FPGAs. IEEE Transactions on Computers,2008,55(4):3381-3387.
    [88] Quinn H, Morgan K, Graham P, et al. Domain Crossing Errors Limitations on Single DeviceTriple-Modular Redundancy Circuits in Xilinx FPGAs. IEEE Transcations on NulcearScience,2007,54(6):2037-2043.
    [89] Quinn H, Graham P, Krone J,et al. Radiation-Induced Multi-Bit Upsets in SRAM-BasedFPGAs. IEEE Transactions on Nuclear Science,2005,52(6):2455-2461.
    [90] Asadi G, Tahoori M. An Analytical Approach for Soft Error Rate Estimation of SRAM-BasedFPGAs. Proceedings of the Military and Aerospace Applications of Programmable LogicDevices (MAPLD),2004, Washington, D.C.
    [91] Asadi G, Tahoori M. An accurate SER estimation method based on propagation probability.Proceedings of the Design, Automation and Test in Europe Conference and Exhibition,2005.
    [92] Xiao-Xi Ren, Ren-Fa Li, Sheng-Zhen Jin, et al. A FT Solution For SST Data ProcessingSystem Using JBits. Proceedings of the IMACS Multiconference on "ComputationalEngineering in Systems Applications(CESA),2006, Beijing, China.
    [93] Chow P, Soon S, Rose J, et al. The design of an SRAM-based Field-Programmable GateArray-Part I: Architecture. IEEE Transactions on Very Large Scale Integration Systems,1999,7(2):191-197.
    [94] Chow P, Soon S, Rose J, et al. The design of an SRAM-based Field-Programmable GateArray-Part II: Circuit Design and Layout. IEEE Transactions on Very Large Scale IntegrationSystems,1999,7(3):321-330.
    [95] Jesse E H. A Device-Level FPGA Simulator[Master Degree Thesis]. Bradley Department ofElectrical and Computer Engineering, Virginia Polytechnic Institute and State University,2004.
    [96] Morgan K, Johnson E, Pratt B, et al. SEU Induced Error Propagation in FPGAs. Proceedingsof the Nuclear and Space Radiation Effects Conference (NSREC),2005, Seatle, USA.
    [97] Oluwole Amusan. Analysis of Single Event Vulnerabilities in a130nm CMOS Technology
    [Master Degree Thesis]. NashvilleTennessee: Vanderbilt University,2006.
    [98] Kenneth A Clark. Modeling Single Event Transients in Complex Digital Systems[DoctorDegree Thesis]. Monterey California: Naval Postgraduate School,2002.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700