用户名: 密码: 验证码:
片上网络拓扑结构与通信方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
半导体工艺技术进入深亚微米时代后,基于总线系统芯片SoC(system on chip)的体系结构在物理设计、通信带宽以及功耗等方面无法满足未来多IP体系发展的需求。片上网络NoC(network on chip)是一种新的系统芯片体系结构,其核心思想是将计算机网络技术移植到系统芯片设计中来,从体系结构上彻底解决总线架构带来的问题。在NoC系统中,拓扑结构和通信方法是影响片上系统性能的重要因素。本文对NoC的拓扑结构和通信方法进行了深入研究,提出了一些新的解决NoC关键问题的方法,并通过建模仿真和软硬件验证对提出的新方法进行了验证。论文的主要研究成果如下:
     1.对NoC的拓扑结构进行了研究。提出了两种适合二维片上网络的拓扑结构,即广义Petersen图( Generalized Petersen , GP(2m, 1) )和网格环形(Mesh Connected-Cycles,MCC)片上网络互连结构。详细分析两种拓扑结构的性质。分别设计了两种拓扑结构的确定性路由算法,对两种拓扑结构进行了模拟分析,并与典型片上网络的mesh拓扑结构进行了比较。在综合考虑网络直径和节点度之积的情况下,GP(2m, 1)和MCC拓扑结构更适合构建片上互连网络。
     2.对NoC的交换机制进行了研究。通过分析总结现有的片上网络交换技术,提出了一种缓冲式快速虫孔交换技术。对该交换机制进行了模拟分析并与典型的虚通道虫孔交换技术进行了比较,表明是一种高性能、低成本的交换技术。
     3.总结片上网络路由器的基本结构和设计,设计了一种基于缓冲式虫孔交换技术的通用片上网络路由器结构,并对该路由器结构进行了详细设计、功能仿真和性能评估。结果表明该种结构的路由器是一种低延迟的片上网络路由器。
     4.分析总结了系统级评估的性能指标和评估流程,建立了系统级仿真平台。该平台是一个模块化、可扩展的系统级仿真平台。
     5.设计了一个网络规模为8×8、基于Mesh的片上网络系统。并用ALTERA FPGA开发板验证了其功能,其中的核心模块片上网络路由器和流量产生/接收器已经SMIC 0.13μm工艺下流片,工作频率300MHz,等效逻辑门为515.5k,在300MHz工作频率下功耗约为308.5 mW。
     6.通过分析,提出了基于平台的片上网络设计方法,根据该方法建立了一个片上网络开发验证平台。该平台和系统级仿真平台结合可以形成一个集片上网络系统分析、功能仿真、硬件验证和性能评估的完整的片上网络开发验证环境。
     7.针对片上网络的发展趋势,对三维片上网络拓扑结构进行了分析和探索,提出了三种适合三维片上网络的拓扑结构,即三维超立方体双环拓扑结构、三维Torus连接的Petersen图拓扑结构、三维长方形扭花环网格拓扑结构。三种拓扑结构都具有高连接度、短直径、简单的路由策略、常数节点度以及良好的可扩展性,适合构建三维片上网络。
As the microelectronics technology expanding, the traditionally idea of System-on-Chip(SoC) to integrate the whole system on a single chip which with only one CPU is in the bus architecture, unable to meet the need of multi-IP architecture development. So several research groups proposed a whole new idea of integrated circuit architecture, Network-on-Chip(NoC). The core idea of NoC is to transplant the knowledge of network technology of computer into the design of chips to systematically solve the problems of bus architecture. In NoC system, topological structures and communication paradigm are important factors in system performance. After in-depth analysis and research in key problems of NoC, we proposed some new solutions to solve the NoC problems. The solutions had been validated by modeling-simulation, software and hardware verification.
     The main research results of this thesis are shown as follows:
     1. Research on the topological structures of NoC. Two suitable network-on-chip topologies, that is, Generalized Petersen graph(GP(2m,1)) and the Mesh Connected-Cycles (MCC) interconnect network structures are proposed. We made detailed analysis of the character of the two topologies, designed two deterministic routing algorithms of the new topologies, and make a contrast between the GP(2m,1) and the MCC topologies and the typical mesh topology. The results show that MCC and GP(2m,1) have better performance, especially in local traffics and low loads, and lower cost.
     2. Research on NoC switching mechanism. A new Buffered Express Wormhole Switching(BEWS) technology of high performance and low cost is proposed. Also a contrast is made between BEWS and typical Virtual Channel Wormhole Switching(VCWS) technology by simulation analysis,which demonstrate BEWS achieves lower latency than VCWS.
     3. After making a summary of structure and design of the NoC routers, a new router structure based on BEWS for network-on-chip is designed. And detailed design, functional simulation, and performance evaluation are done to the new router structure. The results shows BEWS router has lower lantency.
     4. Analyzed the NoC system-level modeling simulation, summed up system-level performance evaluating process, and then analyzed and designed system-level-simulation network model and traffic model, finally set up a system-level simulation platform. The platform explores the NoC design space in an expandable way.
     5. An NoC system, 64 nodes, is designed and verification with FPGA. And synthesis and layout are carried out by SMIC 0. 13μm standard CMOS process and it s hardware scale and power dissipation is 515.5 k logic gate and 308. 5 mW @ 300 MHz for the router, traffic generator and traffic reciever.
     6. The NoC development and verification platform is set up according to a new design method. The platform united with system-level simulation platform comes to an integrated NoC Development & verification environment with system analysis, functional simulation, hardware verification and performance evaluation functions.
     7. According to the development trend of NoC, three kinds of topology that suitable for three-dimensional NoC are proposed. They are Hypercube-Connected Double-Loop topology, Torus Connected Petersen Graph topology, Rectangular Twisted Torus Meshes topology. And detailed analysis is made to the three topologies show that the proposed networks is a better interconnection network in the properties of topology and the performance of communication.
引文
[1] L. Benini and G. De Micheli. Powering networks on chips: energy-efficient and reliable interconnect design for Socs. Proc. the 14th Int. Symp. on System Synthesis, 2001:33-38
    [2] L. Benini and G. De Micheli. Networks on chip: a new paradigm for systems on chip design. Proc. Design Automation and Test in Europe (DATE), 2002:418-419
    [3] M. Horowitz and W. Dally. How scaling will change processor architecture. Digest of Technical Papers. ISSCC. 2004 IEEE International Solid-State Circuits Conference, vol. 1, 2004:132-133
    [4] W.Dally and B. Towles. Route packets, not wires: on-chip interconnection networks. Proc. the Design Automation Conference, Las Vegas, NV, 2001:684-689
    [5] A. Hemani, A. Jantsch ,S. Kumar et al. Network on a chip: an architecture for billion transistor era. Proc. IEEE NorChip Conference, 2000:166-173
    [6] T. Ye. On-chip multiprocessor communication network design and analysis. PhD Dissertation, Stanford University, 2003
    [7] J. Hu and R. Marculescu. Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no.4, April 2005:551-562
    [8] U. Ogras and R. Marculescu. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach. Proc. Design Automation and Test in Europe (DATE), Munich, Germany, 2005:6p
    [9] Umit Y. Ogras, Jingcao Hu, and Radu Marculescu. Key resarch probkems in noc design: A holistic perspective. Proceedings of the International Conference on Hardware/software Codesign and System Synthesis, 2005:6p
    [10] K. Goossens. Formal methods for networks on chips. Proc. Fifth International Conference on Application of Concurrency to System Design, 2005:188-189
    [11] W.J. Dally, B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2004
    [12] Tobias Bjerregaard and Shankar Mahadevan. A survey of research and practices of network-on-chip. ACM Computing Surveys, 38(1), 2006:1-54
    [13] Masood Dehyadgari, Mohsen Nickray, Ali Afzali-kusha, et al. A New Protocol Stack Model for Network on Chip. Proceedings of the 2006 Emerging VLSITechnologies and Architectures (ISVLSI’06), 2006:p2
    [14] Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen, et al. A network on chip architecture and design methodology. in Proc. Int’s Symp. VLSI (ISVLSI), 2002:117-124
    [15] T. N. Theis. The future of interconnection technology. IBM Journal of Research and Development, 44(3), May 2000:379–390
    [16] L. A. Plana W. J. Bainbridge and S. B. Furber. The design and test of a smartcard chip using a CHAIN self-timed network-on-chip. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, volume 3, ,February 2004:274-279
    [17] E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. QNoC: QoS architecture and design process for network on chip. Journal of Systems Architecture, special issue on Network on Chip, 50, February 2004:105–128
    [18] T. Ahonen, D. S. Tortosa, and J. Nurmi. Topology optimization for application-specific networks-on-chip. In Proc. 6th International Workshop on System Level Interconnect Prediction, Paris, France, 2004:p8
    [19] P. P. Pande, C. Grecu, A. Ivanov, R. Saleh. Switch-based interconnect architecture for future systems on chip. In Proc. SPIE, VLSI Circuits and Systems, vol. 5117, 2003:228-237
    [20] M. Dehyadgari, M. Nickray, A. Afzali-kusha, et al. Evaluation of Pseudo Adaptive XY Routing Using an Object Oriented Model for NOC. The 17th International Conference on Microelectronics, 13–15 December 2005:204-208
    [21] J. Hu and R.Marculescu. DyAD - smart routing for networks-on-chip. in Proc. 41'st ACM/IEEE Design Automation Conf, San Diego, CA, 2004:260-263
    [22] P. Guerrier and A. Greiner. A scalable architecture for system-on-chip interconnections. in Proc. Sophia Antipolis Forum on MicroElectronics (SAME), Sophia Antipolis, France, 1999:90-93
    [23] T. Ye, L. Benini, and G. De Micheli. Packetization and routing analysis of on-chip multiprocessor networks. Journal of Systems Architecture, vol. 50 (2-3), 2004:81-104
    [24] Theodore Marescaux, Anders Rangevall, Vincent Nollet, et al. Distributed congestion control for packet switched networks on chip. In Parallel Computing Conference (ParCo'05), Proceedings, Malaga, Spain, September 2005:760-768
    [25] Ch. Ykman-Couvreur, V. Nollet, Th. Marescaux, et al. Pareto-based application specification for MP-SoC customized run-time management. In Proceedings of theInternational Conference on Embedded Computer Systems: Architectures, MOdeling, and Simulation (SAMOS), Samos, Greece, July 2006:78–84
    [26] M. B. Taylor, J. Kim, J. Miller, et al. The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs. IEEE Micro, Mar 2002:25–35
    [27] Zhonghai Lu, Rikard Thid, Mikael Millberg, et al. NNSE: Nostrum network-on-chip simulation environment. In Swedish System-on-Chip Conference (SSoCC'03), April 2005: 1-4
    [28] Mikael Millberg, Erland Nilsson, Rikard Thid, et al. The Nostrum backbone - a communication protocol stack for networks on chip. In Proceedings of the VLSI Design Conference, Mumbai, India, January 2004:693-696
    [29] Davide Bertozzi and Luca Benini. Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits and Systems Magazine, 4, 2004:18-31
    [30] S. Murali, G. De Micheli. SUNMAP: A tool for automatic topology selection and generation for NoCs[J]. In Proc. DAC, 2004:6p
    [31] A. Jalabert, S. Murali,L. Benini et al. xpipesCompiler: A tool for instantiating application specific Networks on Chip. In Proc. DATE, 2004:1-6
    [32] Kees Goossens, John Dielissen, and Andrei Radulescu. ?thereal Network on Chip: Concepts, Architectures, and Implementations. IEEE Design & Test of Computers, 2005:414-421
    [33] Biniam Gebremichael, Frits Vaandrager, Miaomiao Zhang, et al. Deadlock prevention in the ?thereal protocol. In Dominique Borrione and Wolfgang Paul, editors, Proc. Working Conference on Correct Hardware Design and Verification Methods (CHARME), volume 3725 of Lecture Notes in Computer Science (LNCS), October 2005:345–348
    [34] W. J. Bainbridge and S. B. Furber. CHAIN: A Delay Insensitive CHip Area INterconnect. IEEE Micro special issue on Design and Test of System on Chip, 142, No.4, September 2002:16–23
    [35] W. J. Bainbridge and S. B. Furber. CHAIN: A Delay Insensitive CHip Area INterconnect. IEEE Micro special issue on Design and Test of System on Chip, 142, No.4, September 2002:16–23
    [36] Adrijean Adriahantenaina , Herve Charlery , Alain Greiner , et al. SPIN: A Scalable, Packet Switched, On-Chip Micro-Network. Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum, March 03-07, 2003:20070-20073
    [37] Adrijean Andriahantenaina, Alain Greiner. Micro-network for SoC: Implementation of a 32-port SPIN network. Design Automation and Test in Europe Conference (DATE'2003), Munchen, Germany, march 2003:1128-1129
    [38] DanielWiklund and Dake Liu. SoCBUS: Switched Network on Chip for Hard Real Time Embedded Systems. Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS’03),2003:p8
    [39] Ilkka Saastamoinen, Mikko Alho, Juha Pirttim?ki, et al. Proteo interconnect IPs for networks-on-chip. In Proc. IP Based SoC Design, Grenoble, France, 2002: 6p
    [40] David Sigüenza Tortosa and Jari Nurmi. System monitoring and reconfiguration in Proteo NoC. In Proc. Reconfigurable Communication-Centric SoCs (ReCoSoC 2005), Montpellier, France, 2005:99–104
    [41] Tobias Bjerregaard. The MANGO Clockless Network-on-Chip: Concepts and Implementation. PhD thesis, Technical University of Denmark, 2005
    [42] http://www.st.com/
    [43] http://www.arteris.com/
    [44] Jian Liang, S. Swaminathan, and R. Tessier. aSOC: A Scalable, Single-Chip Communications Architecture. In the IEEE International Conference on Parallel Architectures and Compilation Techniques, October 2000:524–529
    [45] H. Wang, X. Zhu, L.-S. Peh, and S. Malik. Orion: A Power-Performance Simulator for Interconnection Networks. In ACM/IEEE MICRO, November 2002:p12
    [46] Marculescu,R. Ogras, U.Y,L. S.Peh et al. Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives. IEEE transactions on computer-aided design of integrated and systems,vol.28,no.1,2009:3-21
    [47] M. Horowitz, R. Ho, and K. Mai, The future of wires, Proc. IEEE, vol. 89, no. 4, Apr. 2001:490–504
    [48] A. Jantsch and H. Tenhunen, Eds. Norwell. Networks-on-Chip,MA: Kluwer, 2003
    [49] G. De Micheli and L. Benini, Eds.Networks on Chips: Technology and Tools. San Mateo, CA: Morgan Kaufmann, 2006
    [50] Salminen Erno., Kulmala A.,Hamalainen T.D. Survey of Network-on-Chip proposal.http://www.ocp-ip.org/march,2008:1-13
    [51]周干民. NoC基础研究[博士学位论文].合肥:合肥工业大学,2005
    [52]李丽,许居衍.片上网络技术发展现状及趋势浅析.电子产品世界, 2009年第1期:32-37
    [53]沈绪榜. MPP系统芯片体系结构的发展对策研究.院士咨询报告,2008
    [54] T. Simunic and S. Boyd,Managing power consumption in networks on chips. in Proc. Des., Autom. Test Eur. Conf., Mar. 2002:110–116
    [55] P. Bhojwani, J. D. Lee, and R. Mahapatra, SAPP: Scalable and adaptable peak power management in NoCs. in Proc. Int. Symp. Low Power Electron. Devices, Aug. 2007:340–345
    [56] T. Bjerregaard and J. Sparso, A router architecture for connectionoriented service guarantees in the MANGO clockless network-on-chip. in Proc. Des., Autom. Test Eur. Conf., Mar. 2005:1226–1231
    [57] J. Kim, C. A. Nicopoulos, D. Park, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, A gracefully degrading and energy-efficient modular router architecture for on-chip networks. in Proc. Int. Symp. Comput. Architecture, Jun. 2006:4–15
    [58] Matsutani, H. Koibuchi, M. Amano, H. Yoshinaga, T.Prediction router: Yet another low latency on-chip router architecture. IEEE 15th International Symposium on High Performance Computer Architecture, 2009, Feb. 2009:367-378
    [59] Mullins, R. West, A. Moore, S. Low-latency virtual-channel routers for on-chip networks. Proceedings. 31st Annual International Symposium on Computer Architecture, 2004. June 2004:188-197
    [60] CRISTIAN G. BIST for network-on-chip interconnect inf rast ructures. In : Proc 24th IEEE VLSI Test Symp. Berkeley ,CA ,USA. 2006 : 6-12
    [61] P.Bogdan,T. Dumitras, R.Marculescu. Stochastic communication: A new paradigm for fault-tolerant networks-on-chip. Hindawi VLSI Design, Feb. 2007:17p
    [62] A. Ejlali, B. M. Al-Hashimi, P. Rosinger, and S. G. Miremadi, Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. in Proc. Des., Autom. Test Eur. Conf., Apr. 2007:1647–1652
    [63] P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans. Comput., vol. 54, no. 8,, Aug. 2005:1025–1040
    [64] P.GuerrierandA.Greiner. A generic architecture for on-chip packet switched interconnections. in Proc of the design and test in Europe (DATE)conference, 2000:7p
    [65] M. Taylor, et al., The raw microprocessor: A computational fabric for software circuits and general purpose programs. IEEE Micro, vol. 22, no. 2, 2002:25–35,
    [66] http://www.nsfc.gov.cn/
    [67]朱晓静,胡伟武,马可等. Xmesh:一个mesh-like NoC拓扑结构.软件学报,2007年18卷9期:2194-2204
    [68]赵宏智,王景存,王沁等.一种基于星型子网的片上网络结构研究.系统仿真学报, 19(22) , 2007:5336-5341
    [69]刘有耀,韩俊刚,杜慧敏.一种新的片上网络仿真与分析.系统仿真学报,29(1) , 2009:300-306
    [70]乔保军,石峰,计卫星.一种新型片上互连网络及仿真.系统仿真学报, 19(19) 2007,:4538-4542+4547
    [71]刘宝起,王长山,李瑞.NoC中的基于蜂群算法的QoS路由.中国集成电路,2009年第1期:44-48
    [72]朱小虎,曹阳,王力纬.多级拥塞控制的NOC路由算法.北京邮电大学学报,30(5),2007:91-94
    [73]段新明,杨愚鲁,杨梅.基于PRDT的16节点NoC路由算法.计算机工程,33(9),2007:12-18
    [74]夏晓梅,周干民.基于定向Ford2Fulker son算法的NoC路径分配.合肥工业大学学报,29(3),2006:316-321
    [75]陈青,郝跃,蔡觉平.基于分组网络结构NOC的蚁群路由算法.集成电路设计与开发,33(2),2008:167-170
    [76]岳培培,陈杰,刘建等.应用于片上网络的双通道路由器.电子科技大学学报, 38(2),2009:309-316
    [77]陆俊林,王宏伟.支持服务质的片上网络路由器设计.计算机辅助设计与图形学学报,20(11),2008:1403-1410
    [78]付方发,张庆利,王进祥等.支持多种流量分布的片上网络性能评估技术研究.哈尔滨工业大学学报, 2007:830-831
    [79]荆元利.基于片上网络的系统芯片研究[博士学位论文].西北工业大学, 2005
    [80] http://www.cnki.net/
    [81] Ananth Grama,Anshul Gupta,George Karypis etc. Introduction to Parallel Computing (Second Edition). Addison-Wesley Press,2003
    [82] J. Duato, S. Yalamanchili, and L. Ni. Interconnection Networks: An Engineering Approach. San Mateo, CA: Morgan Kaufmann, 2002
    [83] Salminen, E. Kulmala, A. Hamalainen, T.D. On network-on-chip comparison. Digital System Design Architectures, Methods and Tools. DSD 2007. 10th Euromicro Conference on.Aug. 2007:503-510
    [84] Marculescu, Radu and Bogdan, Paul. The chip is the network: toward a science of network-on-chip design. Foundations and Trends in Electronic Design Automation,Vol. 2, No. 4,2007:371–461
    [85] Yang Yu,Mei Yang, Yulu Yang, et al. A RDT- based interconnection network for scalable network- on- chip designs. ITCC 2005. International Conference on Information Technology: Coding and Computing, vol. 2, April 2005:723- 728
    [86] P.P. Pande, C. Grecu, A. Ivanov and R. Saleh. Design of a Switch for Network on Chip Applications. Proc. Int’l Symp. Circuits and Systems (ISCAS), vol. 5, May, 2003:217- 220
    [87] L Bononi and N Concer. Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh. In In Proc. Design, Automation and Test in Europe (DATE), March 2006:6p
    [88] Mei Yang, Tao Li, Yinglao Jiang, and Yulu Yang. Fault-tolerant routing schemes in RDT(2,2,1)/alpha-based interconnection network for networks-on-chip design. In Proc. of the 8th Intl. Symp. on Parallel Architectures, Algorithms and Networks (ISPAN), 2005:6p
    [89] F. Karim et al., An Interconnect Architecture for Networking Systems on Chips. IEEE Micro, vol. 22, no. 5,Oct.2002:36- 45
    [90] MSaneei, A Afzali- Kusha, and Z Navabi, Low- power and Low- latency Cluster Topology for Local Traffic NOCs. In Proceedings of 2005 IEEE International Symposium on Circuits and Systems, Island of Kos, Greece, vol.4,May 2006:1-5
    [91] MILLBERGM, N ILSSON E, TH ID R, et al. The nostrum backbone - a communication p rotocol stack for networks on chip. Proceedings of the 17th International Conference on VLSI Design.Washington, DC, USA: IEEE Computer Society, 2004: 693 - 696
    [92] ZIMMER H, ZINK S, HOLLSTEIN T, et al. Buffer-architecture exploration for routers in a hierarchical network-on-chip. Proceedings of 19 th IEEE International Parallel and Distributed Pro2 cessing Symposium. Washington, DC, USA: IEEE Computer Society, 2005:4p
    [93] JALABERTA, MURAL I S, BENINIL, et al. Xpipescompiler: A tool for instantiating application specific networks on chip. Proceedings of Design, Automation and Test in Europe Conference and Exhibition. LosAlamitos: IEEE Computer Society, 2004: 884 - 889
    [94]常政威,谢晓娜,熊光泽.片上网络拓扑结构.计算机应用, 27(11),2007: 2847-2850
    [95]张恒龙,顾华玺,王长山.片上网络拓扑结构的研究,中国集成电路,2007年第11期:42-59
    [96] MORAES F, CALAZANSN, MELLO A, et al. Hermes: An infrastructure for lowarea overhead packet2switching networks on chip. Integration, the VLSI Journal, 2004, 38 (1) : 69-93
    [97] ZEFER A., SUSIN A A. SOCIN: a parametric and scalable network-on-chip. Proceedings of the 16 th Symposium on Integrated Circuits and SystemsDesign. Washington, DC, USA: IEEE Computer Society, 2003: 169 - 174
    [98] Ville Rantala,Teijo Lehtonen,Juha Plosila. Network on Chip Routing Algorithms.TUCS Technical Report , Finland,August 2006:38p
    [99] M. Dehyadgari, M. Nickray, A. Afzali-kusha, Z. Navabi: Evaluation of Pseudo Adaptive XY Routing Using an Object OrientedModel for NOC. The 17th International Conference on Microelectronics,December 2005:13–15
    [100] J. Hu, R. Marculescu: DyAD– Smart Routing for Networks-on-Chip. Proceedings,41st Design Automation Conference, 2004: 260–263
    [101] M. Pirretti, G.M. Link, R.R. Brooks, N. Vijaykrishnan, M. Kandemir,M.J. Irwin: Fault Tolerant Algorithms for Networks-On-Chip Interconnect. Proceedings, IEEE Computer society Annual Symposium on VLSI, 19–20 February 2004: 46–51
    [102] H. Kariniemi, J. Nurmi: Arbitration and Routing Schemes for On-chip Packet Networks. Interconnect-Centric Design for Advanced SoC and NoC. Kluwer Academic Publishers, 2004: 253–282
    [103] H. Kariniemi, J. Nurmi: Fault-tolerant XGFT Network-on-Chip for Multiprocessor System-on-Chip Circuits. International Conference on Field Programmable Logic and Applications, 24–26 August 2005: 203–210
    [104] Li M,Zeng Q A,Jone W B.DyXY:a proximity congestion-aware deadlock-free dynamic routing method for network on chip. 43rd ACM/IEEE Design Automation Conference. San Francisco, CA,USA:ACM Press,2006:849-852
    [105] M. Ali, M. Welzl, S. Hellebrand: A Dynamic Routing Mechanism for Network on Chip. 23rd NORCHIP Conference, 21–22 November 2005: 70–73
    [106] Gary. Introduction to Graph Theory. Posts & Telecommunications Press , Beijing, 2006
    [107] J. M. Pedersen, T. M. Riaz & O. B. Madsen. Comparing and Selecting Generalized Double Ring Network Structures. IASTED CCN2004, Cambridge, USA, November 8-10 2004:375-380
    [108] DN Jayasimha, B Zafar, Y Hoskote. On-Chip Interconnection Networks: Why They are Different and How to Compare Them. 2006, blogs. intel.com/research/terascale/ODI_why-different.pdf,2006:11p
    [109] Hesham El-Rewini, Mostafa Abd-Ei-Barr. Advanced Computer Architecture and Parallel Processing. Publishing House of Electronics Industry, Beijing (2005)
    [110] C. A. Nicopoulos et al., ViChaR: A dynamic virtual channel regulator for network-on-chip routers. in Proc. Int. Symp. Microarchitecture, Dec. 2006:333–346
    [111]王峥,顾华玺,杨烨等.片上网络交换机制的研究.中国集成电路,2007年12期:22-27
    [112] Anthony Leroy, Julien Picalaus and Dragomir Milojevic. Quantitative Comparison of Switching Strategies for Networks on Chip. Proceedings of Programmable Logic, 3rd Southern Conference,2007: 57- 62
    [113] Z. Lu,M. Liu, and A. Jantsch, Layered switching for networks on chip. in Proc. Des. Autom. Conf., Jun. 2007:122–127
    [114] Wang K,GU H.,Wang C. Study on Switching Mechanism in Network on Chip. 7th International Conference on ASIC: Guilin,22-25 Oct. 2007:914-917
    [115] Kodi A. ,Louri A.,Wang J .Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). Proceedings of the 2009 10th International Symposium on Quality of Electronic Design, IEEE Computer Society Washington, DC, USA 2009:826-832
    [116] A.A.Chien. A cost and speed model for k-ary n-cube wormhole routers. IEEE Transactions on Parallel and Distributed Systems,9(2),1998:150-162
    [117] P. Abad, V. Puente, J. A. Gregorio, and P. Prieto, Rotary router: An efficient architecture for CMP interconnection networks. in Proc. Int.Symp. Comput. Architecture, Jun. 2007:116–125
    [118] J. Hu, U. Y. Ogras, and R. Marculescu, System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 12, Dec. 2006:2919– 2933
    [119] J. Kim et al., A novel dimensionally-decomposed router for on-chip communication in 3D architectures. in Proc. Int. Symp. Comput. Architecture, Jun. 2007:138–149
    [120] R. Mullins, A. West, and S. Moore, Low-latency virtual-channel routers for on-chip networks. in Proc. Int. Symp. Comput. Architecture, Jun. 2004:188–197
    [121] Matsutani, H. Koibuchi, M. Amano, H. et al.Prediction router: Yet another low latency on-chip router architecture. IEEE 15th International Symposium on High Performance Computer Architecture, 14-18 Feb. 2009: 367-378
    [122] A. Kumar, L.-S. Peh, P. Kundu, et al. Express virtual channels: Towards the idealinterconnection fabric. in Proceedings of the International Symposium on Computer Architecture (ISCA), June 9 - 13 2007:150-161
    [123] Leonel Tedesco,Aline Mello,Diego Garibotti. Traffic generation and performance evaluation for mesh-based NoCs. Proceedings of the 18th annual symposium on Integrated circuits and system design, 2005:184– 189
    [124] Soteriou, V. Hangsheng Wang Peh, L. A Statistical Traffic Model for On-Chip Interconnection Networks. 14th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems,Sept. 2006: 104- 116
    [125] G. Varatkar and R. Marculescu, On-chip traffic modeling and synthesis for MPEG-2 video applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 1, Jan. 2004:108–119
    [126] S. Mahadevan et al., A network traffic generator model for fast networkon- chip simulation. in Proc. Des., Autom. Test Eur. Conf., Mar. 2005:780–785
    [127]柳金普,孙洪祥,王军.应用随机过程.北京:清华大学出版社,2006
    [128] K. Park and W.Willinger, Self-similar network traffic: An overview,in Self-Similar Network Traffic and Performance Evaluation. Willinger, Eds. New York: Wiley-Interscience, 1999
    [129] Pavlidis, V.F. Friedman, E.G. 3-D Topologies for Networks-on-Chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Oct. 2007:1081-1090
    [130] C. Addo-Quaye, Thermal-aware mapping and placement for 3-D NoC designs. in Proc. IEEE Int. Syst.-on-Chip Conf., 2005:25–28
    [131] F. Li et al., Design and management of 3D chip multiprocessors using network-in-memory. in Proc. IEEE Int. Symp. Comput. Arch., 2006:130–142
    [132] Hiroki Matsutani,Michihiro Koibuchi,Hideharu Amano. Tightly-Coupled Multi-Layer Topologies for 3-D NoCs. Proceedings of the 2007 International Conference on Parallel Processing.2007:10p
    [133] Francisco Gilabert,Simone Medardoni. Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework. Proceedings of the Second IEEE International Symposium on Networks-on- Chip.2008:107-116
    [134] C.Sun, L.Shang,and R.P.Dick. Three-dimensional multi-processor system-on-chip thermal optimization. in Proc. Int. Conf. Hardware/ Softw. Codesign Syst.Synthesis, Oct. 2007, pp. 117–122
    [135] Stanley F B, Pratim P P. Networks-on-chip in a three dimensional environment: a performance evaluation. IEEE Transaction on Computer, 58(1),2009:32-45
    [136]刘方爱,刘志勇,乔香珍.一种实用的互联网络拓扑结构RP(k)及路由算法.中国科学(E辑),32(3) ,2002:461-473
    [137]王雷,林亚平,陈治平.基于Petersen图互连的超立方体网络及其路由算法.系统仿真学报, 19(6), 2007:1339-1343

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700