用户名: 密码: 验证码:
高速集成电路片内互连的电磁建模和参数提取研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
半导体工艺水平的飞速提高使当今集成电路的发展进入深亚微米阶段。随着系统芯片的出现,片内互连技术已成为目前集成电路设计中最具挑战性的领域之一。互连的传输线效应成为限制系统整体性能的“瓶颈”。传统的EDA设计中的基于集总电路概念的参数提取算法已经失去准确性。因此,对互连和封装结构进行电磁建模和参数提取,对于高速集成电路设计具有重要的意义,它是一系列后续工作的基础。
     本论文以片内互连的关键长线为研究对象,所涉及的工作即是长线结构的电磁建模和参数提取。在分析互连电特性的同时,侧重方法的研究。研究方法从精度和速度两方面着手,为适合EDA/CAD设计使用,更侧重速度研究。主要研究工作及创新性如下:
     1.对片内互连中大量出现的包含不连续性和三维立体特殊性结构的典型代表进行了研究。采用具有高精度数值解的时域有限差分法,对屏蔽共面波导的平面型间隙结构和三维垂直互连结构进行全波数值仿真,首次得到这些结构的电特性分析,并给出不连续性等效电路参数。
     2.对集成电路中普遍存在的有耗多导体结构的高速高精度参数提取进行了研究与实现。以双线和三线结构为例,提取了其等效电路参数。在分析中,通过改进,提出并实现了一种非均匀网格的自动划分技术,以简便灵活的方式解决了非均匀网格产生的困难。通过与降维FDTD方法和信号拟合预测技术结合使用,极大地缩减了单纯FDTD法庞大的计算量,提高了仿真效率,在一定程度上有效地解决了多导线分析的困难。
     3.针对有耗导体衰减常数难以精确提取的问题,将一种最新改进降维方法应用到有耗多导体系统分析中,并结合非均匀网格划分技术,在得到准确衰减常数的同时有效地减少计算时间。
     4.针对数值仿真时间过长,难以实现CAD交互使用的局限性,将人工神经网络引入到电磁建模中。对于屏蔽CPW的间隙不连续性和垂直互连不连续性结构,采用FDTD方法获取训练和检测样本数据,用回传算法训练多层感知器,首次成功地获得了这些结构快速、准确的CAD模型。所得模型具有与电磁仿真同样的精度,而计算时间可忽略不计,成功地解决了纯数值方法的巨大耗时问题,非常适合用于电路设计所需的准确高效的EDA/CAD互连模型的建立。
     对以上所有研究内容,均编写了相应的Fortran程序和Matlab程序。在软件实现上开展了初步工作。
With the tremendous improvement of semiconductor technique, today's integrated circuits (1C) technology has entered into deep submicrometer (DSM) region. Now that a single chip is an entire system (the concept of system-on-a-chip, SOC), on-chip interconnect is now one of the most challenging areas of 1C processing. The parasitic effects of interconnect is the bottleneck of the entire circuit system performance. The traditional methods of parasitic parameter extraction in EDA, which based on the concept of lumped component, have lost their accuracy. Electromagnetic modeling and parameter extraction play an important role in 1C design and it is a foundation of the works later.
    The subject of dissertation is electromagnetic modeling and parameters extraction of long interconnects in SOC. As analyzing the electronic properties, methods studying are particularly emphasized at the same time. Accuracy and speed are two aspects to be concerned and the later is given more attention for the sake of practical using in EDA/CAD. This dissertation has made the following research:
    For the structures comprising discontinuities and three-dimensional specialities, which largely present in SOC, the planar gap and the tridimensional vertical bend of shielded coplanar waveguide (CPW), are analyzed respectively as two typical examples. The finite-difference time-domain (FDTD) method are used for electromagnetic simulation using its highly accuracy. Structure electronic properties are investigated and equivalent circuit parameters are obtained at the first time.
    For the lossy multiple conductors configuration, which is essential unit of interconnects, its rapid and accuracy extraction are studied and achieved. Two and three coplanar lines are studied as examples and their equivalent circuit parameters are obtained. An nonuniform mesh partitioning technique is developed by modification. It solves the difficulty of grid producing by an easy and flexible way. Simulation results show that when combine it with the compact FDTD method and the signal curvefit prediction approach, the computation magnitude is tremendously cut down compared to that when only the basic FDTD method is used. So simulation efficiency is greatly enhanced and the analyzing difficulty in dealing with multiple conductors is solved to some extend.
    Meanwhile, owing to the fact that there is huge hardness in accurate extracting of attenuation constant, one of the most new modified compact FDTD methods is applied to analyzing lossy multiple conductors to give accurate results. It is combined with the nonuniform mesh partitioning technique to reduce the computing time.
    Aiming at the fact that numerical simulations are very time-consuming and are used very limitedly in alternative CAD, Artificial neural network (ANN) is introduced to electromagnetic modeling to solve the problem. For the planar gap and
    
    
    the tridimensional vertical bend of shielded CPW, FDTD simulations are carried out to produce training and testing samples and error-back propagation algorithm is used to train the multilayer perceptron neural networks (MLPNNs). Rapid and accuracy CAD models of these structures are successfully obtained for the first time. These developed MLPNN models can give the same reliable results as that of the electromagnetic simulations, but their computing time are negligible. Since this approach can efficiently remedy the time-consuming problem of single numerical method, it is very potential in the accurate and efficient interconnect modeling of circuit EDA/CAD.
    Fortran and Matlab programs are written for all the above approaches. Some primary work are done in soft realization.
引文
1.Jason cong.纳米工艺IC设计的挑战和机遇.集成电路设计,No.4,PP.1-12,1998.
    2.王阳元.二十一世纪微硅电子技术发展趋势.集成电路设计,No.2,PP.1-5,2000.
    3.陈弘毅.信息时代的微电子科学技术.集成电路设计,No.1,1-14,2001.
    4.李枚.微电子封装技术的发展与展望.半导体杂志,Vol.25,No.2,pp.32-36,2000.
    5. G. Wolfe. Electronic packaging issues in the 1990's. Electronic Package & Production, Vol.30, No.1, pp.76-80, 1990.
    6.骆丹.多芯片组件技术.电子元件与材料,Vol.2,pp.15-19,1994.
    7.王效平,刘捷臣.集成电路封装技术的现状和未来.微处理机,No.4,PP.1-8,1996.
    8. B. Shinji, T. Yoshihiro and M. Mitsuyasu, et al. Molded chip scale package for high pin count. IEEE Trans. Component, Package, and Manufacturing Technology-Part B, Vol. 21, No. 1, pp.28-34,1998.
    9.小原洋一,赫福申译.半导体封装技术的发展趋势.集成电路设计,No.1,pp.107-118,1999.
    10. Y. Tomita, T. Morifuji and M. Tago, et al. Advanced packaging technologies on 3D stacked LSI utilizing the micro interconnections and the layered microthin encapsulation, in Proc. 51st Electronic Components and Technology Conference, pp.353-360, 2001.
    11. A.Deutsch. Electrical characteristics of interconnections for High-performance systems, in Proc. the IEEE, No.2, pp.315-355, 1998.
    12.段新东.深亚微米集成电路设计方法学与EDA技术.集成电路设计,No.4,pp.74-84,1997.
    13. A. Deutsch. On-chip wiring design challenges for gigahertz operation, in Proc. the IEEE, Vol.89, No.4, pp.529-553, 2001.
    14. A. B. Kahng and S. Muddut. Dsm interconnect modeling and analysis for performance and reliability. in Proc. Eleventh Annual IEEE International ASIC Conference, pp.421-421, 1998.
    15. A. Vittal, M. Marek-Sadowska and K.-P. Wang, et al. Crosstalk in VLSI interconnections. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, No. 12, pp.1817-1824, 1999.
    16. P. Maffezzoni and A. Branbilla. Modelling delay and crosstalk in VLSI interconnect for electrical simulation. Electronics Letters, Vol.36, No. 10, pp.862-864, 2000.
    17. H. Ozkaramanli. A comparison of strong and weak distributed transverse coupling between VLSI interconnects. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol.20, No.12, pp.1472-1478, 2001.
    18. Q.J. Zhang, F. Wang and M.S. Nakhla, et al. Signal integrity optimization of high-speed VLSI packages and interconnects, in 48th IEEE Electronic Components & Technology Conference, pp. 1073-1076, 1998.
    
    
    19. A. Deutsch, G. V. Kopcsay and C. W. Surovic, et al. Modeling and characterization of long on-chip interconnections for high performance microprocessors. IBM J. Res. Develop., Vol.39, No.5, pp.547-567, 1995.
    20. A. Deutsch, G. V. Kopcsay and P. J. Restle, et al. When are transmission-line effects important for on-chip interconnections. IEEE Trans. Microwave Theory Tech., Vol.45, No.10, pp.1836-1846, 1997.
    21. X. W. Lin, D. Pramanik. Future interconnect technologies and copper metallization. SolM State Technology, Vol. 41, No.10, pp.63-79, 1998.
    22. K. Lee. On-chip interconnects-gigahertz and beyond. Solid State Technology, Vol.41, No.9, pp. 85-89, 1998.
    23. M. Yehia, M. Steve and B. Tareq, et al. Layout techniques for minimizing on-chip interconnect self inductance, in Proc. IEEE Design Automation Conference, pp.566-571, 1998.
    24. K. Byron and M. Sharad. Layout based frequency dependent inductance and resistance extraction for on-chip interconnect timing analysis, in Proc. IEEE Design Automation Conference, pp.303-308, 1998.
    25. K. Yip. Clock tree distribution. IEEE Potentials, Vol.16, No.2, pp.11-14, 1997.
    26. D. J. Restle, K. A. Jenkins and A. Deutsch, et al. Measurement and modeling of on-chip transmission line effects in a 400MHz microprocessor. IEEE J. of Solid-State Circuits, Vol.33, No.4, pp. 662-665, 1998.
    27. H. Krishnanurthy, M. B. Maaz and M. A. Bayoumi. A new partitioning framework for uniform clock distribution during high-level synthesis, in Proc. IEEE Int. Symposium on Circuits and Systems, No.6, pp.383-384, 1998.
    28. A. Erdal, M. Yue and J. stahler. An implementation of a clock-tree distribution scheme for high-performance ASICS. in Proc. Fifth Annual IEEE international ASIC Conference and Exhibit, pp. 26-29, 1992.
    29.李炳宗.深亚微米超大规模集成电路多层互连技术新进展.上海微电子技术和应用,No.4,pp.2-9,1997.
    30.翁寿松.深亚微米半导体器件制备的最新工艺及设备.半导体杂志,Vol.22,No.4,pp.27-33,1997.
    31.袁寿财,朱长纯.集成电路技术:过去与未来.半导体杂志,Vol.23,No.2,pp.19-33,1998.
    32. R. J. Gutmann, T. P. Chow and A. E. Kasoyeros, et al. Thermal stability of on-chip copper interconnect structures. Thin Solid Films, Vol.262, No. 1-2, pp. 177-178, 1995.
    33. M. B. Anand, M. Yamada and H. Shibata. A feasible gas dielectric interconnect process.
    
    Symposium on VLSI Technology, pp.82-83, 1996.
    34. B. shieh, K. saraswat and M. Deal, et al. Air gaps lower k of interconnect dielectrics. Solid State Technology, Vol.42, No.2, pp. 51-58, 1999.
    35. E. Korczynski. Interconnect: The new frontier. Solid State Technology, Vol.41, No.9, pp.83, 1998.
    36. G. I. Coatache. Finite element method applied to the skin-effect problems in strip transmission lines. IEEE Trans. Microwave Theory Tech., Vol.35, No.11, pp.1009-1013, 1987.
    37. J. Jin. The finite element method in Electromagnetics. New York: John Wiley & Sons, Inc., 1993.
    38. A. H. Zemanian. A finite-difference procedure for the exterior problem inherent in capacitance computation for VLSI interconnections. IEEE Trans. Educ. Vol.35, No.7, pp.985-992, 1988.
    39. A. H. Zemanian and R. P. Tewarson. Three dimensional capacitance computations for VLSI/ULSI interconnections. IEEE Trans. Computer-Aided Design, No.8, pp.1319-1326, 1989.
    40. A. Taflove, Computational Electrodynamics. Boston: Artech House, 1995.
    41. K. S. Yee. Numerical solution of initial boundary value problems involving Maxwell's equations in isotropic media. IEEE Trans. Antennas Propagat., Vol. AP-14, pp.302-307, 1966.
    42. A. Taflove. Review of the formulation and applications of the finite-difference time-domain method for numerical modeling of electromagnetic wave interactions with arbitrary structures. Wave Motion, Vol.10, pp.547-582, 1988.
    43. K. Kunz and R. J. Luebbers. The Finite-Difference Time-Domain Method for Electromagnetics, CRC Press, Inc., 1993.
    44. R. F. Harrington. Field Computation by Moment Methods, The Macmillan company, USA: New York, 1968.
    45. W. Cao, R. F. Harrington and J. P. Mantz, et al. Multi conductor transmission lines in multilayered dielectric media. IEEE Trans. Microwave Theory Tech., Vol.32, pp.439-450, 1984.
    46. G. L. Matthaei, G. C. Chinn and C. H. Plott, et al. A simplified means for computation of interconnects distributed capacitance and inductances. IEEE Trans., Vol.CAD-11, pp.513-524, 1992.
    47. G. W. Pan, G. F. Wang and B. K. Gilbert. Edge effect enforced boundary element analysis of multilayered transmission lines. IEEE Trans. Circuits Syst., Vol.39, No.11, pp.946-954, 1992.
    48. 李忠元,电磁场边界元素法,北京工业学院出版社, 1987.
    49. A. E. Ruehli. Equivalent circuit methods for three dimensional multiconductor systems.
    
    IEEE Trans. Microwave Theory Tech., Vol.22, pp.216-221, 1974.
    50. H. Heeb and A. E. Ruehli. Three dimensional interconnect analysis using partial element equivalent circuits. IEEE Trans. Circuit Syst. I., Vol.39, No.11, pp.974-982, 1992.
    51. K. K. Mei, R. Pous and Z. Chen, et al. Measured equations of invariance: a new concept in field computations. IEEE Trans. Antennas Propagat., Vol. AP-42, pp.320-327, 1994.
    52. W. Hong, W. Sun and W. W. Dai. Fast parameters extraction of multilayer and multiconductor interconnects using geometry independent measured equation of invariance. in Proc. IEEE Multi-Chip Module Conference 96, pp. 105-110, 1996.
    53. A. Dreher and R. Pregla. Analysis of planar waveguides with the method of lines and absorbing boundary conditions. IEEE Microwave and Guided Wave Letters, Vol.1, No.6, pp.138-140, 1991.
    54. F. J. Schmuckle and R. Pregla. The method of lines for the analysis of planar waveguides with finite metallization. IEEE Trans. Microwave Theory Tech., Vol.39, No. 10, pp. 107-111, 1991.
    55. R. Pregla and W. Pascher. Diagonalization of difference operators and system matrices in the method of lines. IEEE Microwave and Guided Wave Letters, Vol.2, No.2, pp.52-54, 1992.
    56. R. H. Jansen. The spectral-domain approach for microwave integrated circuits. IEEE Trans. Microwave Theory Tech., Vol.33, No.10, pp.1043-1055, 1985.
    57. F. Medina and M. Homo. Capacitance and inductance matrices for multistrip structures in multilayered anisotropic dielectrics. IEEE Trans. Microwave Theory Tech., Vol.35, No.11, pp.1002-1008, 1987.
    58. Z. Zhu, H. Ji and W. Hong. An efficient algorithm for the parameter extraction of 3-D interconnect structure in the VLSI circuits: Domain-decomposition method. IEEE Trans. Microwave Theory Tech., Vol.45(II), No.5, pp.827-836, 1997.
    59. W. Hong, W. Sun and Z. Zhu, et al. A novel dimension reduction technique for capacitance extraction of VLSI interconnects. in Proc. Int. Conf. Comput.-Aided Design (ICCAD), San Jose, CA, pp.381-386, 1996.
    60. S. P. Luo and Z. F. Li. An efficient method for computing the capacitance matrix of multiconductor interconnects in very high speed integrated circuit system. IEEE Trans. Microwave Theory Tech., Vol.43, No.1, pp.225-227, 1995.
    61. K. Nabors and J. White. FastCap: A multipole accelerated 3-D capacitance extraction program. IEEE Trans., Vol.CAD-10, No.11, pp. 1447-1459, 1991.
    62. K. Nabors, S. Kim and J. White. Fast capacitance extraction of general three-dimensional structures. IEEE Trans. Microwave Theory Tech., Vol.40, No.7, pp.1496-1506, 1992.
    63. K. Nabors and J. White. Multipole accelerated capacitance extraction algorithms for 3-D structures with multiple dielectrics. IEEE Trans., Vol.CAS-I-39, No.11, pp.946-954, 1992.
    64. W. J. R. Hoefer. The transmission-line matrix method theory and applications. IEEE Trans.
    
    Microwave Theory Tech., Vol.33, No. 10, pp.882-893, 1985.
    65. R. H. Voelker and R. J. Lomax. A finite-difference transmission line matrix method incorporating a nonlinear device model. IEEE Trans. Microwave Theory Tech., Vol.38, No.3, pp.302-312, 1990.
    66. A. Bayliss and E. Turkel. Radiation boundary conditions for wave-like equations. Comm. PureAppl. Math., Vol.23, pp.707-725, 1980.
    67. B. Engquist and A. Majda. Absorbing boundary conditions for the numerical simulation of waves. Mathematics of Computation, Vol.31, No. 139, pp.629-651, 1977.
    68. G. Mur. Absorbing boundary conditions for the finite-difference approximation of the time-domain electromagnetic field equations. IEEE Trans. Electromagnetic Compatibility, Vol.EMC-23, No.4, pp.377-382, 1981.
    69. R. L. Wanger and W. C. Chen. An analysis of Liao's absorbing boundary condition. J. Electromagn. Waves Applicat., Vol.9, Nos.7/8, pp.993-1009, 1995.
    70. K. K. Mei and J. Y. Fang. Superabsorption: a method to improve absorbing boundary conditions. IEEE Trans. Antennas Propagat., Vol. AP-40, No.9, pp. 1001-1010, 1992.
    71. J. P. Berenger. Perfectly matched layer for the FDTD solution of wave-structure interaction problems. IEEE Trans. Antennas Propagat., Vol. AP-44, No.1, pp.110-117, 1996.
    72. S. D. Gedney. An anisotropic perfectly matched layer-absorbing medium for the truncation of FDTD lattices. IEEE Trans. Antennas Propagat., Vol.AP-44, No. 12, pp. 1630-1639, 1996.
    73. Javid Aziz. 应用Calibre,实现高性能深亚微处理器的物理验证,集成电路设计, No.3,pp.52-56, 1998.
    74. P. M. Watson and K. C. Gupta. Design and optimization of CPW circuits using EM-ANN models for CPW components. IEEE Trans. Microwave Theory Tech., Vol.45, No. 12, pp.2515-2523, 1997.
    75. P. M. Waston, K. C. Gupta. EM-ANN models for microstrip vias and interconnects in dataset circuits. IEEE Trans. Microwave Theory Tech., Vol.44, No.12, pp.2495-2503, 1996.
    76. F. Wang, V. K. Devabhaktuni, and Q.-J. Zhang. A hierarchical neural network approach to the development of a library of neural models for microwave design. IEEE Trans. Microwave Theory Tech., vol.46, No.12, pp.2391-2403, 1998.
    77. B.-Z. Wang, D. Zhao, J. Hong. Modeling stripline discontinuities by neural network with knowledge-based neurons. IEEE Trans. Advanced Packaging, Vo.23, No.4, pp.692-698, 2000.
    78. B.-Z. Wang, J. Hong. Artificial neural network models for the gap discontinuities in stripline circuits. InternationalJ. Infrared and Millimeter Waves, Vol.21, No.5, pp.677-688, 2000.
    79. B.-Z. Wang, S. Zou. Artificial neural network models for the double-vias in multilayer stripline circuits. InternationalJ. Infrared and Millimeter Waves, Vol.20, No.7, pp.1377-1387,
    
    1999.
    80. B.-Z. Wang. Artificial neural network models for coaxial to waveguide adapters. International J. Infrared and Millimeter Waves, Vol.20, No. 1, pp. 125-136, 1999.
    81. X. Zhong, B.-Z. Wang and H. C. Wang. Artificial neural network model for the gap discontinuity in shielded coplanar waveguide, International J. Infrared and Millimeter Waves, Vol.22, No.8, pp.1267-1276, 2001.
    82. X. Zhong, B.-Z. Wang and H. C. Wang. Artificial neural network model for the vertical interconnection of coplanar waveguide, d. Microwaves, Vol. 17, No.4, pp.26-30, 2001.
    83.谭浩强,田淑清.Fortran语言.清华大学出版社,1990.
    84.桂良进,王军,董波.Fortran PowerStation 4.0使用与编程.北京航空航天大学出版社,1999.
    85.张志涌,刘瑞桢,杨祖樱.掌握和精通Matlab.北京航空航天大学出版社,1997.
    86.张宜华,史惠康.精通Matlab 5.清华大学出版社,1999.
    87.王秉中.计算电磁学.电子科技大学研究生讲义,1999.
    88.王长清,祝西里.电磁场计算中的时域有限差分法.北京大学出版社,1994.
    89.高本庆.时域有限差分法FDTD Method.国防工业出版社,1995.
    90.谢处方,饶克谨.电磁场与电磁波.电子科技大学讲义,1985.
    91.赵家升,杨显清,王园等.电磁场与波.电子科技大学出版社,1997.
    92.吴万春,梁昌洪.微波网络及其应用.国防工业出版社,1980.
    93.廖承恩,陈达章.微波技术基础.国防工业出版社,1979.
    94. R. W. Jackson. Mode conversion at discontinuities in finite-width conductor-backed coplanar waveguide. IEEE Trans. Microwave Theory Tech., Vol.37, No.10, pp.1582-1589, 1989
    95. M. Riaziat, R. Majidi-ahy and I.-J. Fang. Propagation modes and dispersion characteristics of coplanar waveguides. IEEE Trans. Microwave Theory Tech., Vol.38, No.3, pp.245-251, 1990.
    96. M. Tsuji and A. A. Oliner. New interesting leakage behavior on coplanar waveguides of finite and infinite widths. IEEE Trans. Microwave Theory Tech., Vol.39, No.12, pp.2130-2137, 1991.
    97. C.-Y. Lee, Y. Liu and T. Itoh. The effect of the coupled slotline mode and air-bridges on CPW and NLC waveguide discontinuities. IEEE Trans. Microwave Theory Tech., Vol.43, No.12, pp.2759-2765, 1995.
    98. J. Lee, H. Lee and W. Kin, et al. Suppression of coupled-slotline mode on CPW using airbridges measured by picosecond photoconductive sampling. IEEE Microwave and Guided Wave Letters, Vol.9, No.7, 1999.
    99. W. Heinrich, A. Jentzsch and G. Baumann. Millimeter-wave characteristics of flip-chip interconnects for multichip modules. IEEE Trans. Microwave Theory Tech., Vol.46, No.12,
    
    pp.2264-2268, 1998.
    100. A. A. Oliner. Equivalent circuits for discontinuities in balanced strip transmission line. IRE Trans. Microwave Theory Tech., vol.3, pp.134-143, Mar. 1955.
    101. 陈嘉玉,电磁场数值方法,电子科技大学出版社, 1998.
    102. P. Monfraix, P. Lilian and C. Drevon, et al. 3D microwave modules for space applications, in IEEE International Microwave Symposium, Vol.3, pp. 1289-1291, 1998.
    103. C. Val, et al. 3D interconnection for ultra-dense multichip modules. IEEE Trans. CHMT, Vol.13,No.4, 1993.
    104. S. Xiao and R. Vahldieck. An efficient 2-D FDTD algorithm using real variables. IEEE Microwave Guided Wave Lett., Vol.3, No.5, pp. 127-129, 1993.
    105. Zhengyu Yuan and Zhengfan Li. Efficient computation of frequency-dependent parameters for on-chip interconnects via two-dimensional FDTD and time signal prediction technique. IEEE Trans. Advanced packaging, Vol.22, No.4, pp.665-671, 1999.
    106. W. H. Press, S. A. Teukolsky and W. T. Vetterlong, et al. Numerical Recipes in C, Beijing, China: Electronics Industry Press, 1995.
    107. Y. J. Wang and B.-Z. Wang. A novel 2-D FDTD algorithm for the analysis of lossy transmission lines. Submitted to IEEE trans. Components, Packaging and Manufacturing Technology.
    108. W. T. Weeks, et al. Resistive and inductive skin effect in rectangular conductors. IBM journal of research and development, Vol.23, No.6, pp.652-660, 1979.
    109. A. C. Cangellaris. Numerical stability and numerical dispersion of a compact 2-D/FDTD method used for the dispersion analysis of waveguides. IEEE Microwave Guided Wave Lett., Vol.3, No.1,pp.3-5, 1993.
    110. M. W. Yang and Y. C. Chen. Automesh: An automatically adjustable, nonuiform, orthogonal FDTD mesh generation. IEEE antennas and propagation magazine, Vol.41, No.2, pp.13-19, 1999.
    111. W. McCulloch and W. Pitts. A logical calculus of the ideas immanent in nervous activity. Bulletin of Mathematical Biophysics, Vol.5, pp.115-133, 1943.
    112. D. O. Hebb. The Organization of Behavior, New York: Wiley, 1949.
    113. F. Rosenblatt. Principles of Neurodynamics, Spartan Books, 1962.
    114. M. Minsky and S. Papert. Perceptrons, MIT Press, 1969.
    115. J. J. Hopfield. Neural networks and physical systems with emergent collective computational abitlities. Proc. Natl. Acad. Sci., USA., Vol.79, pp.2554-2558, 1982.
    116. J. J. Hopfield. Neural computation of decisions in optimization problems. Biological Cybernetics. Vol.52, No.3, pp.141-152, 1985.
    
    
    117. D. E. Rumelhart and J. L. McClelland. Parallel distributed processing, Vol. 1-2, Cambridge: MIT press, 1986.
    118. Simon Haykin. Neural networks: A comprehensive foundation, New Jersery: Prentice-Hall,1994.
    119.阎平凡,张长水.人工神经网络与模拟进化计算.清华大学出版社,2000.
    120.胡守仁,沈清,胡德文等.神经网络应用技术.国防科技大学出版社,1993.
    121.叶世伟,胡宏,史忠植.多层网络并行学习算法及隐单元设置.中国神经网络学术大会,pp.273-277,1993.
    122.焦李成.神经网络系统理论.西安电子科技大学出版社,1996.
    123. S. R. Schmidt and R. G. Launsby. Understanding Industrial Designed Experiments, Colorado Springs, CO: Air Academy Press, pp.3.1-3.50, 1992.
    124.姜同川.正交实验设计.山东科学出版社,1985.
    125.上海市科学技术交流站.正交实验设计法:多因素的实验方法.上海人民出版社,1975.
    126.孙德敏.工程最优化方法及应用.中国科学技术大学出版社,1991.
    127.南京大学数学系设计数学专业.最优化方法.科学出版社,1978.
    128.解可新.最优化方法.天津大学出版社,1997.
    129.R. Fletcher.实用最优化方法.天津科技翻译出版公司,1990.
    130.楼顺天,施阳.基于MATLAB的系统设计——神经网络.西安电子科技大学出版社,1998.
    131.李团结,王建平,王磊等.Visual Basic 6.0编程.科学出版社,2000.
    132.蒋斌.Visual Basic 6.0程序设计.电子工业出版社.2001.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700