用户名: 密码: 验证码:
基于自主嵌入式处理器的半自定制物理设计方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成工艺的不断发展,集成电路设计进入了以纳米为代表的SOC(System On Chip)时代。庞大的电路设计规模以及深亚微米条件下各种全新的工艺设计问题,都为集成电路的物理设计提出了新的挑战。如何改进物理设计的方法与流程,对于保证和提升芯片性能,缩短产品的开发周期都有着重要的产业意义和商业价值。
     本文基于具有自主知识产权的CK系列嵌入式CPU,提出了一种全新的半定制物理设计方法及流程。该流程将可重构的设计思想贯穿始终,通过引入一种新型的具有版图可重构特性的标准单元结构,将电路晶体管级的优化与调整融入整个芯片的物理设计流程中。方法创新的将一种基于图论的电路拓扑分解算法引入对电路网表的简化过程中,分离出可实施调整的目标电路。并在对目标电路进行晶体管调整的过程中,将连线延时及窜扰延时的变化考虑在内,从而获得连线延时与单元延时之间的平衡优化解决方案。相比传统方法,该方法在实现对电路性能优化的同时,极大的降低了对芯片实施晶体管级调整的难度与复杂度,并保证了设计流程的收敛与迭代次数。
     另一方面,本文提出了一种新型的可重构的延时可控网络驱动器结构(DCCB),并提出了基于这一结构对时钟树网络进行调整优化的算法。该算法通过DCCB将有益时钟偏差有效的引入电路,直接针对电路版图进行时钟树调整,实现时钟周期的优化。试验数据表明,此方法对时钟周期的优化相比传统方法高出10%-17%。
     最后,本文对CPU中的一类重要的数据存储单元SRAM的自定制设计进行了初步的研究,详细讨论了SRAM单元的建库技术及时序信息库提取的具体方法。
As technology develops, IC design has stepped into the nanometer scale SOC era. The huge circuit scale along with all kinds of novel process technology issues, bring new challenges to the IC physical design methodology. How to improve physical design approaches and flow is greatly significant in industrial and commercial field for decreasing the product development period as well as ensuring and promoting the integration performance of a chip.
     A novel semi-custom physical design methodology is proposed based on an embedded system CK series CPU which owns the complete intellectual property rights. This approach merges the transistor level adjustment and optimization into the entire IC physical design flow according to a novel reconfigurable standard cell frame. Utilizing a network graph partition and simplification algorithm, the method extracts the objective circuit available for tuning which is consequently used for implementing a trade-off optimization between cell delay and net delay by taking the net delay variation during the transistor adjustment procedure into account. In comparison with the traditional methodologies, this method realizes the circuit performance optimization in addition to decreasing the complexity and difficulty of transistor tuning on a chip and meanwhile maintains the design convergence and iterations.
     Based on the reconfigurable perspective, a novel delay controllable clock buffer (DCCB) is proposed for clock period optimization via a clock tree adjustment algorithm which generates useful clock skew according to DCCB reconfiguration and direct layout modification. Experiments indicated that this approach improved the clock period by approximate 10%-17% higher than the traditional methodologies.
     Finally, the dissertation discusses the primary research on SRAM timing library generation and presents the SRAM timing library extraction approaches concretely.
引文
[1]陈贵灿,邵志标,程军,CMOS集成电路设计.西安:西安交通大学出版社.
    [2]S.W.Jones,"Exponential trends in the integrated circuits industry," http://www.icknowledge.com/trends/Exponential2.pdf
    [3]虞希清,专用集成电路设计实用教程.杭州:浙江大学出版社,2007.
    [4]张培勇,“32位嵌入式CPU的超深亚微米物理实现与验证,”电路与系统,博士.杭州:浙江大学,2005.
    [5]L.Lev and P.Chao,"Down to the wire:Requirements for nanometer design implementation,"http://nanometer.cadence.com/pdf/4064_NanorneterWP_fnlv2.pdf.
    [6]S.Lee,"Why you need RTL virtual prototyping,"http://www.design-reuse.com/articles/5209/why-you-need-rtl-virtual-protot yping.html,2003.
    [7]杨军,”集成电路的逻辑等价性验证研究,”电路与系统,博士.杭州:浙江大学,2007.
    [8]Wikipedia,"Standard Cell,"http://en.wikipedia.org/wiki/Standard_cell.
    [9]J.M.Rabaey,A.Chandrakasan,and B.Nikolic,Digital Integrated Circuit:A Design Perspective,second ed.北京:清华大学出版社,2003.
    [10]L.Dongming,Z.Peiyong,Z.Dandan,Y.Xiaolang,Z.Bo,and Q.Li,"A semi-custom design methodology for design performance optimization," Journal of Zhejiang University SCIENCE A,vol.9,2008.
    [11]K.Ramachandran,R.R.Cordell,D.F.Daly,D.N.Deutsch,and A.F.Kwan,"SYMCELL-a symbolic standard cell system," Solid-State Circuits,IEEE Journal of,vol.26,pp.449-452,1991.
    [12]A.Bahuman,B.Bishop,and K.Rasheed,"Automated synthesis of standard cells using genetic algorithms," presented at VLSI,2002.Proceedings.IEEE Computer Society Annual Symposium on,2002.
    [13]M.Hashimoto,K.Fujimori,and H.Onodera,"Automatic generation of standard cell library in VDSM technologies," presented at Quality Electronic Design,2004.Proceedings.5th International Symposium on,2004.
    [14]J.Dreesen,"Standard cell development flow," presented at Euro ASIC '90,1990.
    [15]K.E.Dubowski,"Custom cell development for standard cell designs," presented at ASIC Seminar and Exhibit,1989.Proceedings.,Second Annual IEEE,1989.
    [16]J.C.Herbert,"An integrated design and characterization environment for the development of a standard cell library," presented at Custom Integrated Circuits Conference,1991.,Proceedings of the IEEE 1991,1991.
    [17]Cadence,SKILL Language User Guide,2006.
    [18]Synopsys,Library Compiler User Guide,vol.2.
    [19]何小艇,数字电路.杭州:浙江大学出版社,2001.
    [20]H.Nambu,K.Kanetani,K.Yamasaki,K.Higeta,M.Usami,Y.Fujimura,K.Ando,T.Kusunoki,K.Yamaguchi,and N.Homma,"A 1.8-ns access,550-MHz,4.5-Mb CMOS SRAM," Solid-State Circuits,IEEE Journal of vol.33,pp.1650-1658,1998.
    [21]B.S.Amrutur and M.A.Horowitz,"Speed and power scaling of SRAM's,"Solid-State Circuits,IEEE Journal of vol.35,pp.175-185,2000.
    [22]H.Nambu,K.Kanetani,K.Yamasaki,K.Higeta,M.Usami,M.Nishiyama,K.Ohhata,F.Arakawa,T.Kusunoki,K.Yamaguchi,A.Hotta,and N.Homma,"A 550-ps access 900-MHz 1-Mb ECL-CMOS SRAM,"Solid-State Circuits,IEEE Journal of vol.35,pp.1159-1168,2000.
    [23]K.Takeda,Y.Aimoto,N.Nakamura,H.Toyoshima,T.Iwasaki,K.Noda,K.Matsui,S.Itoh,S.Masuoka,T.Horiuchi,A.Nakagawa,K.Shimogawa,and H.Takahashi,"A 16-Mb 400-MHz loadless CMOS four-transistor SRAM macro," Solid-State Circuits,IEEE Journal of vol.35,pp.1631-1640,2000.
    [24]O.Xu,T.Deeter,C.N.Berglund,R.F.W.Pease,J.Lee,and M.A.McCord,"High-throughput high-density mapping and spectrum analysis of transistor gate length variations in SRAM circuits," Semiconductor Manufacturing,IEEE Transactions on,vol.14,pp.318-329,2001.
    [25]K.Noda,K.Matsui,K.Takeda,and N.Nakamura,"A loadless CMOS four-transistor SRAM cell in a 0.18-μm logic technology,"Electron Devices,IEEE Transactions on,vol.48,pp.2851-2855,2001.
    [26]A.Y.Zeng,K.Rose,and R.J.Gutmann,"Cache array architecture optimization at deep submicron technologies," presented at Computer Design:VLSI in Computers and Processors,2004.ICCD 2004.Proceedings.IEEE International Conference on,2004.
    [27]K.Takeda,Y.Hagihara,Y.Aimoto,M.Nomura,Y.Nakazawa,T.Ishii,and H.Kobatake,"A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications," Solid-State Circuits,IEEE Journal of vol.41,pp.113-121,2006.
    [28]C.Qikai,S.Mukhopadhyay,A.Bansal,and K.Roy,"Circuit-aware Device Design Methodology for Nanometer Technologies:A Case Study for Low Power SRAM Design," presented at Design,Automation and Test in Europe,2006.DATE '06.Proceedings,2006.
    [29]K.Itoh,VLSI Memory Chip Design:Springer.
    [30]L.Technologies,"ASIC LIBRARIES MADE EASY Sloutionware User Manual MakeLib," 1995.
    [31]R.Mandal,D.Goswami,and A.Dash,"Reducing library development cycle time through an optimum layout create flow," presented at Design Automation Conference,2002.Proceedings of ASP-DAC 2002.7th Asia and South Pacific and the 15th International Conference on VLSI Design.Proceedings.,2002.
    [32]D.G.Baltus,T.Varga,R.C.Armstrong,J.Duh,and T.G.Matheson,"Developing A Concurrent Methodology For Standard-cell Library Generation," presented at Design Automation Conference,1997.Proceedings of the 34th,1997.
    [33]L.Dake and C.Svensson,"Power consumption estimation in CMOS VLSI chips," Solid-State Circuits,IEEE Journal of vol.29,pp.663-670,1994.
    [34]W.Chang-Sheng,L.Lih-Chiou,D.Chou,and T.Kuang-Cheng,"An automatic cell characterization environment for cell-based design methodology," presented at ASIC Conference and Exhibit,1993.Proceedings.,Sixth Annual IEEE International,1993.
    [35]R.W.Phelps,"Advanced library characterization for high-performance ASIC," presented at ASIC Conference and Exhibit,1991.Proceedings.,Fourth Annual IEEE International,1991.
    [36]M.A.Cirit,"Characterizing a VLSI standard cell library,"presented at Custom Integrated Circuits Conference,1991.,Proceedings of the IEEE 1991,1991.
    [37]罗晓华,”深亚微米单元工艺参数提取和建模技术研究,”博士.杭州:浙江大学.
    [38]Synopsys,Hspice User Guide,vol.2.
    [39]S.Wen-Tsong,"Leakage power estimation and minimization in VLSI circuits," presented at Circuits and Systems,2001.ISCAS 2001.The 2001 IEEE International Symposium on,2001.
    [40]R.Kumar and C.P.Ravikumar,"Leakage power estimation for deep submicron circuits in an ASIC design environment," presented at Design Automation Conference,2002.Proceedings of ASP-DAC 2002.7th Asia and South Pacific and the 15th International Conference on VLSI Design.Proceedings.,2002.
    [41]S.Bobba and I.N.Hajj,"Maximum leakage power estimation for CMOS circuits," presented at Low-Power Design,1999.Proceedings.IEEE Alessandro Volta Memorial Workshop on,1999.
    [42]J.P.Fishburn,"Clock skew optimization," Computers,IEEE Transactions on,vol.39,pp.945-951,1990.
    [43]A.Vittal and M.Marek-Sadowska,"Low-power buffered clock tree design," Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.16,pp.965-975,1997.
    [44]C.Jun-Dong and M.Sarrafzadeh,"A buffer distribution algorithm for high-performance clock net optimization," Very Large Scale Integration (VLSI) Systems,IEEE Transactions on,vol.3,pp.84-98,1995.
    [45]L. Yi, H. Xianlong, C. Yici, and W. Xinjie, "Reliable buffered clock tree routing algorithm with process variation tolerance," presented at ASIC, 2003. Proceedings. 5th International Conference on, 2003.
    
    [46] S. Greenberg, I. Bloch, M. Horwitz, and A. Maman,"Optimization of chip level clock tree performance by using simultaneous drivers and wire sizing," presented at Electronics, Circuits and Systems,2004. ICECS 2004. Proceedings of the 2004 11th IEEE International Conference on, 2004.
    
    [47] L. Chih-Hung, S. Chin-Hung, H. Shih-Hsu, L. Chih-Yuan, and H.Tsai-Ming, "Floorplanning with clock tree estimation," presented at Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on, 2005.
    
    [48]R. B. Deokar and S. S. Sapatnekar, "A graph-theoretic approach to clock skew optimization," presented at Circuits and Systems, 1994.ISCAS '94., 1994 IEEE International Symposium on, 1994.
    
    [49] J. L. Neves and E. G. Friedman, "Optimal clock skew scheduling tolerant to process variations," presented at Design Automation Conference Proceedings 1996, 33rd, 1996.
    
    [50]M. B. Maaz and M. A. Bayoumi, "Anon-zero clock skew scheduling algorithm for high speed clock distribution network," presented at Circuits and Systems, 1999. ISCAS '99. Proceedings of the 1999 IEEE International Symposium on, 1999.
    
    [51]H. Shih-Hsu and N. Yow-Tyng, "Clock period minimization of non-zero clock skew circuits," presented at Computer Aided Design, 2003.ICCAD-2003. International Conference on, 2003.
    
    [52] A. Kapoor, N. Jayakumar, and S. P. Khatri, "A novel clock distribution and dynamic de-skewing methodology," presented at Computer Aided Design, 2004. ICCAD-2004. IEEE/ACM International Conference on, 2004.
    
    [53] S. S. Sapatnekar and R. B. Deokar, "Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits,"Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 15, pp. 1237-1248, 1996.
    
    [54]N. Maheshwari and S. Sapatnekar, "Efficient retiming of large circuits," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 6, pp. 74-83, 1998.
    
    [55]C. Weitong, S. S. Sapatnekar, and I. N. Hajj, "Timing and area optimization for standard-cell VLSI circuit design," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 14,pp. 308-320, 1995.
    
    [56] A. Shinya, M. Hashimoto, and T. Onoye, "Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution,"presented at Quality Electronic Design, 2008. ISQED 2008. 9th International Symposium on, 2008.
    [57]B.Taskin and I.S.Kourtev,"Delay insertion method in clock skew scheduling," Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.25,pp.651-663,2006.
    [58]H.Shi-Hsu,N.Yow-Tyng,and L.Feng-Pin,"Race-condition-aware clock skew scheduling," presented at Design Automation Conference,2005.Proceedings.42nd,2005.
    [59]B.Taskin and I.S.Kourtev,"A Timing Optimization Method Based on Clock Skew Scheduling and Partitioning in a Parallel Computing Environment," presented at Circuits and Systems,2006.MWSCAS '06.49th IEEE International Midwest Symposium on,2006.
    [60]W.Xinjie,C.Yici,and H.Xianlong,"Clock skew scheduling under process variations," presented at Quality Electronic Design,2006.ISQED '06.7th International Symposium on,2006.
    [61]O.Coudert,"Timing and design closure in physical design flows,"presented at Quality Electronic Design,2002.Proceedings.International Symposium on,2002.
    [62]R.H.J.M.Otten,"Timing closure:the solution and its problems,"presented at Design Automation Conference,2000.Proceedings of the ASP-DAC 2000.Asia and South Pacific,2000.
    [63]吕冬明,张培勇,严晓浪,郑丹丹,何仙娥,”新型时延可控时钟网络驱动器及其应用,”浙大学报工学版,vol.42,2008.
    [64]J.M.Shyu,A.Sangiovanni-Vincentelli,J.P.Fishburn,and A.E.Dunlop,"Optimization-based transistor sizing," Solid-State Circuits,IEEE Journal of,vol.23,pp.400-409,1988.
    [65]B.Hoppe,G.Neuendorf,D.Schmitt-Landsiedel,and W.Specks,"Optimization of high-speed CMOS logic circuits with analytical models for signal delay,chip area,and dynamic power dissipation,"Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.9,pp.236-247,1990.
    [66]A.C.H.Wu,N.Vander Zanden,and D.Gajski,"A new algorithm for transistor sizing in CMOS circuits," presented at Design Automation Conference,1990.EDAC.Proceedings of the European,1990.
    [67]S.S.Sapatnekar,V.B.Rao,P.M.Vaidya,and K.Sung-Mo,"An exact solution to the transistor sizing problem for CMOS circuits using convex optimization," Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.12,pp.1621-1634,1993.
    [68]B.S.Carlson and L.Suh-Juch,"Delay optimization of digital CMOS VLSI circuits by transistor reordering," Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.14,pp.1183-1192,1995.
    [69]M.Borah,R.M.Owens,and M.J.Irwin,"Transistor sizing for low power CMOS circuits," Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.15,pp.665-671,1996.
    [70]J.Yanbin,S.S.Sapatnekar,C.Bamji,and K.Juho,"Interleaving buffer insertion and transistor sizing into a single optimization," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 6, pp.625-633, 1998.
    
    [71] V. Sundararajan, S. S. Sapatnekar, and K. K. Parhi, "Fast and exact transistor sizing based on iterative relaxation," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 21,pp. 568-581,2002.
    
    [72] C. Santos, G. Wilke, C. Lazzari, R. Reis, and J. L. Guntzel, "A transistor sizing method applied to an automatic layout generation tool," presented at Integrated Circuits and Systems Design, 2003. SBCCI 2003.Proceedings. 16th Symposium on, 2003.
    
    [73] M. Pattanaik and S. Banerjee, "Geometric programming based power-delay optimization using transistor-sizing for submicron and deep submicron CMOS inverter," presented at TENCON 2003. Conference on Convergent Technologies for Asia-Pacific Region, 2003.
    
    [74] J. Ebergen, J. Gainsley, and P. Cunningham, "Transistor sizing:how to control the speed and energy consumption of a circuit," presented at Asynchronous Circuits and Systems, 2004. Proceedings. 10th International Symposium on, 2004.
    
    [75] C. Santos, D. Ferrao, R. Reis, and J. L. Guntzel, "Incremental timing optimization for automatic layout generation," presented at Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on, 2005.
    
    [76] A. Kabbani, D. Al-Khalili, and A. J. Al-Khalili, "Logical path delay distribution and transistor sizing," presented at IEEE-NEWCAS Conference, 2005. The 3rd International, 2005.
    
    [77] W. H. Kao, N. Fathi, and L. Chia-Hao, "Algorithms for Automatic Transistor Sizing in CMOS Digital Circuits," presented at Design Automation, 1985. 22nd Conference on, 1985.
    
    [78]C. Bamji and M. Borah, "An improved cost heuristic for transistor sizing," presented at VLSI Design, 1998. Proceedings., 1998 Eleventh International Conference on, 1998.
    
    [79] H. Shen-Fu, T. Ming-Yu, and W. Chia-Sheng, "Transistor sizing and layout merging of basic cells in pass transistor logic cell library,"presented at VLSI Design, Automation and Test, 2008. VLSI-DAT 2008.IEEE International Symposium on, 2008.
    
    [80] R. Reis, "Physical Design Automation at Transistor Level,"presented at NORCHIP, 2008., 2008.
    
    [81]J. P. Fishburn and A. E. Dunlop, "TILOS: A posynomial programming approach to transistor sizing," presented at International Conference on Computer-Aided Design, 1985.
    
    [82] A. Vittal, L. Hui Chen, M. Marek-Sadowska, W. Kai-Ping, and S. Yang, "Modeling crosstalk in resistive VLSI interconnections," presented at VLSI Design, 1999. Proceedings. Twelfth International Conference On,1999.
    [83] G. A. Northrop and L. Pong-Fei, "A semi-custom design flow in high-performance microprocessor design," presented at Design Automation Conference, 2001. Proceedings, 2001.
    
    [84] H. C. Thomas, E. L. Charles, and L. Ronald, Introduction to Algorithms. USA: MIT Press, 1990.
    
    [85] R. Y. Chen, P. Yip, G. Konstadinidis, A. Demas, F. Klass, R.Mains, M. Schmitt, and D. Bistry, "Timing window applications in UltraSPARC-IIIi~(?); microprocessor design," presented at Computer Design:VLSI in Computers and Processors, 2002. Proceedings. 2002 IEEE International Conference on, 2002.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700