用户名: 密码: 验证码:
高速数字式脉冲超宽带无线收发系统的研究及实现
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着平板电脑、智能手机、数字机顶盒、数码相机、DVD、数码摄像机、HDTV等电子消费产品的广泛普及,电子产品之间的短距离高速无线通信,一直是研究的热点。脉冲超宽带(IR-UWB)系统采用极窄脉冲传输数据。这种无载波调制的通信方式具有低功耗、低复杂度的优点,一直受到学术界和科研机构的关注。当前学术界对于IR-UWB的研究主要集中在速率为10MHz以下、甚至几KHz的生物医疗、测距、定位等方面的应用。UWB可高达500Mb/s的传输速率优势,是其它短距离无线传输技术不能相比的。业界对于高速数据传输的UWB研究,主要集中在OFDM方案和DS-CDMA方案。采用这两种方案,是由于广泛应用于窄带通信的OFDM技术和DS-CDMA技术已经相当成熟;但是其缺乏创新性。本文重点研究应用于短距离高速数据传输的IR-UWB系统。由于传统IR-UWB架构对于高速传输引起的码间干扰(ISI)无法处理,不适用于高速数据传输。本文借鉴SDR的设计思想,重点提出了一种低精度、欠采样、数字式脉冲超宽带高速无线收发机系统。
     数字式IR-UWB的接收机与发射机均不需要超宽带的混频器,这样可以降低射频电路设计难度。在接收机里,超宽带的射频信号经过LNA和VGA放大后,直接由ADC采用4bit以下的低精度、低于奈圭斯特的欠采样速率采样,所有的信号处理交由数字基带完成。该架构具有低功耗、低复杂度的优点。在架构研究的基础上,本文系统地研究了基于训练码的超宽带数字基带实现算法,给出了信道估计、同步、信道均衡等实现算法,并提出基于训练码的极性序列同步与门限信道估计联合算法,该算法简单有效。本文给出了一套完整的系统解决方案,包括数字基带的实现算法和射频电路的实现方法,并对系统建模和仿真,论证了欠采样、低精度、数字式IR-UWB系统的可行性。
     最后,针对提出的系统方案,本文设计了一个1bit的极低精度、4224MHz欠采样速率的、BPSK调制的IR-UWB收发机系统。物理传输最高速率为132Mb/s,有效数据传输速率为114Mb/s。收发机射频电路采用SMIC0.13μm CMOS工艺实现并流片测试,电源电压为1.2V。收发机的能耗较低,在132M/b的传输速率下,分别为18.2pJ/pulse和330pJ/pulse。发射机利用RLC电路来整形频谱,通过连续调节电阻R实现脉冲参数连续可调。发射机芯片测试结果表明:脉冲频谱在3~5GHz区间符合FCC频谱规定;脉冲宽度在900ps~1600ps之间;脉冲幅度为110mV~370mV。接收机射频电路将LNA、VGA、ADC、PLL、时钟分频器等集成。ADC采用时钟交错的16路比较器并行组成,比较器的实际工作频率降为264MHz。芯片测试结果表明:LNA和VGA增益为16dB~28dB;噪声系数小于3.7dB;ADC为1bit和4224MHz。从LNA输入端到ADC的16路输出通道,测试正常,可以为数字基带提供264MHz的16路并行采样数据。数字电路将整个系统的数字基带算法集成。同时,嵌入了一个MCU软IP核,以辅助控制和编码处理。数字基带采用FPGA开发板进行功能验证,验证结果表明:在未同步的情况下,整个基带电路能够正确地自动复位;并能够正确地解调数据,数据误码率为5×10-4。整个基带系统采用SMIC0.13μm CMOS工艺实现集成,工作频率为264MHz,总功耗为902.3mW。
Along with the PAD computer, smartphone, digital set-top boxes, digital camera, DVD, digital vidicon, HDTV and other consumer electronic products widely spreading, short-distance high-speed wireless communications between electronic products, has been a hot topic. IR-UWB system employs an extreme narrow pulse to transmit data. The advantages of non-carrier modulation communication mode, which has always been a focus among academia and research institutions, are low power and low complexity. Currently, academic researches on IR-UWB are concentrated on applications mainly about the biological health, ranging found,and location,where the transimission rate is less than10MHz, even a few KHz. UWB has the advantage of transimmision rate up to500Mb/s,which other short-range wireless transmission technology are uncompared with. The UWB research about high-speed data transmission rate in industry principally focuses on OFDM and DS-CDMA schemes, which having been widely used in narrowband communication as their proven technique; but lacking innovation. This paper focuses on IR-UWB system appling on short-range high-speed data transmission. As traditional IR-UWB architecture could not handle the inter-symbol interference at high speed, it is unsuitable for high-speed data transmission. Learning from the design ideas of the SDR, this article presents a low accuracy, subsampling and digital IR-UWB system for high-speed wireless transmissions.
     The receiver and transmitter of the proposed digital IR-UWB system don't need mixeres. In the receiver, the input UWB RF signal is amplified through the LNA and VGA, then ADC directly samples the RF signal at the low precision of less than4bit and the rate lowering than Nyquist rate. All the signal processing is completed by the digital baseband. The structure has the advantages of low power and low complexity. On the basis of the architecture study, this paper systematically studied digital baseband algorithm based on the training code, and gives the achieving algorithms including the channel estimation, synchronization, channel equalization and etc. This paper proposes a joint algorithm based on training code polarity sequence synchronization and threshold channel estimation. At the same time, a complete system solution, including the realization of the digital baseband algorithms and RF circuit, is given. The feasibility of digital IR-UWB system is demonstrated by the system modeling and simulation.
     Finally, a lbit low precision and sampling rate at4224MHz IR-UWB transceiver is presented. The highest physical transimission rate is132Mb/s, and the effective data transmission rate is114Mb/s. The transceiver RF circuits are implemented in SMIC0.13μm CMOS process with the supply of1.2V. The energy-efficient transmitter and receiver only consume18.2pJ/pulse and330pJ/pulse to achieve a transmission at a date rate of132Mb/s, respectively. The BPSK modulation transmitter employs a RLC circuit to shape the pulse spectrum, and pulse parameters can be adjusted continuously by adjusting the resistance R. The measurement results show that the pulse spectrum of3~5GHz is consistent with the FCC spectrum. The pulse width is between900ps and1600ps, and its amplitude is between110mV and370mV. LAN, VGA, ADC, PLL and clock divider are all integrated. The ADC is composed of16time interleaving comparators, which operating at the low frequency of 264MHz. The test results show that the ADC is lbit operating at the frequency of4224MHz,and the LNA and VGA gain coefficient is16dB~28dB. And the noise figure is less than3.7dB. The16output channels of ADC receiving signal from the LNA input is match with the simulation well and can provide16bits parallel input signals samping at the frequency of264MHz to the digital baseband. The digital circuit has integrated the entire system of digital baseband algorithms and embeds an MCU soft IP core for auxiliary control and code processing.The functional verification of digital baseband is completed in FPGA development board.The results show that the baseband circuit can automatically reset in the unsynchronized case; and demodulate data correctly.The best bit error rate is5x10-4.The digital baseband system is integrated in SMIC0.13m CMOS process. The operating frequency is264MHz and the total power is902.3mW.
引文
[1]Channel Modeling Sub-Committee Report Final, IEEE802.15.SG.3a, IEEE802.15-02/490 rl-SG3a[J], Feb.2003.
    [2]IEEE 802, "IEEE 802 03/449", IEEE 802 Document:15-03-0449-03-003a-multi-band-ofdm-physical-layer-proposal update.ppt[J].
    [3]IEEE 802, "IEEE 802 03/334", IEEE 802 Document:15-03-0334-05-003a-xtremespectrum-cfp-presentation.pfd[J].
    [4]IEEE 802, "IEEE 802 03/109", IEEE 802 Document:03109rlp802-15_TG3a-Intel-CFP-Presenttaion.ppt[J].
    [5]S. Lo et al.. A dual-antenna phased-array UWB transceiver in 0.18μm CMOS [C]. IEEE International Solid-State Circuits Conference,2006, pp,118-119.
    [6]A. Tanaka et al.. A 1.1V 3.1-to-9.5GHz MB-OFDM UWB transceiver in 90nm CMOS [C]. IEEE International Solid-State Circuits Conference,2006, pp.120-121.
    [7]C. Sandner et al.. A WiMedia/MBOA-compliant CMOS RF transceiver for UWB [C]. IEEE International Solid-State Circuits Conference,2006, pp.122-123.
    [8]T. Aytur et al.. A fully integrated UWB PHY in 0.13μm CMOS [C]. IEEE International Solid-State Circuits Conference,2006, pp.124-125.
    [9]M. Ranjan, L. Larson. A sub-lmm2 dynamically tuned CMOS MB-OFDM 3-to-8GHz UWB receiver front-end [C]. IEEE International Solid-State Circuits Conference,2006, pp.128-129.
    [10]J. Ryckaert et al.. A 16mA UWB 3-to-5GHz 20Mpulses/s quadrature analog correlation receiver in 0.18μm CMOS [C]. IEEE International Solid-State Circuits Conference,2006, pp.114-115.
    [11]Y. Zheng et al.. A CMOS carrier-less UWB transceiver for WPAN applications [C]. IEEE International Solid-State Circuits Conference,2006, pp.116-117.
    [12]J. R. Bergervoet et al.. A WiMedia-compliant UWB transceiver in 65nm CMOS [C]. IEEE International Solid-State Circuits Conference,2007, pp.112-113.
    [13]F. S. Lee, A. P. Chandrakasan. A 2.5nJ/b 0.65V 3-to-5GHz subbanded UWB receiver in 90nm CMOS [C]. IEEE International Solid-State Circuits Conference,2007, pp.116-117.
    [14]D. D. Wentzloff, A. P. Chandrakasan. A 47pJ/pulse 3.1-to-5GHz all-digital UWB transmitter in 90nm CMOS [C]. IEEE International Solid-State Circuits Conference,2007, pp.118-119.
    [15]Y. Zheng et al.. A 0.18/μm CMOS dual-band UWB transceiver [C]. IEEE International Solid-State Circuits Conference,2007, pp.114-115.
    [16]J. Ryckaert et al.. A 0.65-to-1.4nJ/burst 3-to-10GHz UWB dgitial TX in 90nm CMOS for IEEE 802.15.4a [C]. IEEE International Solid-State Circuits Conference,2007, pp.120-121.
    [17]Q. Werther et al.. A fully integrated 14-band 3.1-to-10.6GHz 0.13μm SiGe BiCMOS UWB RF transceiver [C]. IEEE International Solid-State Circuits Conference,2008, pp.122-123.
    [18]R. van de Beek et al.. A 0.6-to-10GHz receiver front-end in 45nm CMOS [C]. IEEE International Solid-State Circuits Conference,2008, pp.128-129.
    [19]Y. Zheng, M. A. Arasu, A 0.18μm CMOS 802.15.4a UWB transceiver for communication and localization [C]. IEEE International Solid-State Circuits Conference,2008, pp.118-119.
    [20]M. Demirkan, R. R. Spencer. A 1.8Gpulses/s UWB transmitter in 90nm CMOS [C]. IEEE International Solid-State Circuits Conference,2008, pp.116-117.
    [21]T. Chu, H. Hashemi. A CMOS UWB camera with 7×7 simultaneous active pixels [C]. IEEE International Solid-State Circuits Conference,2008, pp.120-121.
    [22]M. Baghaei-Nejad et al.. A remote-powered RFID tag with 10Mb/s UWB uplink and -18.5dBm sensitivity UHF downlink in 0.18μm CMOS [C]. IEEE International Solid-State Circuits Conference, 2009, pp.198-199.
    [23]D. C. Daly et al.. A pulsed UWB receiver SoC for insect motion control [C]. IEEE International Solid-State Circuits Conference,2009, pp.200-201.
    [24]Sanghoon Joo; Wu-Hsin Chen, et al.. A fully integrated 802.15.4a IR-UWB Transceiver in 0.13μm CMOS with digital RRC synthesis[C]. IEEE International Solid-State Circuits Conference,2010, pp. 228-229.
    [25]Zheng, Y.J.; Diao, S.-X., et al.. A 0.92/5.3nJ/b UWB impulse radio SoC for communication and localization. IEEE International Solid-State Circuits Conference,2010, pp.230-231.
    [26]Crepaldi, M., Chen Li, et al.. An Ultra-Low-Power interference-robust IR-UWB transceiver chipset using self-synchronizing OOK modulation[C]. IEEE International Solid-State Circuits Conference, 2010, pp.226-227.
    [27]Zito, D., Pepe, D., et al.. A 90nm CMOS SoC UWB pulse radar for respiratory rate monitoring[C]. IEEE International Solid-State Circuits Conference,2011, pp.40-41.
    [28]Ta-Shun Chu, Roderick, J. et al.. A short-range UWB impulse-radio CMOS sensor for human feature detection[C]. IEEE International Solid-State Circuits Conference,2011, pp.294-296.
    [29]Lrahhauten,Z.,H. Nikookar,and GJ. M. Janssen. An overview of ultra wide band indoor channel measurements and modeling[J]. Microwave and wireless Components LetteL, vol.14, Aug.2004, pp. 386-388.
    [30]Win M. Z. and R. A. Scholtz. Characterization of ultra-wide bandwidth wireless indoor channels: a communication-theoretic view[J]. Selected Areas in Communications, vol.20, June 2002, pp. 1613-1627.
    [31]Cassioli, D., M. Z. Win, and A. F. Molish, The ultra-wide bandwidth indoor channel:from statistical model to simulations[J]. Selected Areas in Communications, IEEE Journal on,2002.20(6): 1247-1257.
    [32]Win. M. Z., Scholtz, R. A., Ultra-Wide Bandwidth signal propagation for indoor wireless Communications[C], IEEE International Conferences on Communications, ICC'97, v01.1, PP.56-60, June 1997.
    [33]Saleh A. A. M., Valenzula, R. A.. A Statistical Model for Indoor Multipath Propagation[J]. IEEE Journal on Selected Areas in Communications, vol.2, Feb.1987, pp.128-137.
    [34]Suzuki, H.. A Statistical Model for Urban Radio Propagation[J]. IEEE Transaction onCommunications, July 1977, pp.673-680.
    [35]Eric Ojard, Jeyhan Karaoguz. Ultra Wide-Band Modulation Schemes:A Communications Theory Perspective[EB/OL].http://grouper.ieee.org/groups/802/15/pub/2003/Mar03/03095r01P802-15_TG3a-Broadcom-CFP-Presentation.ppt.
    [36]J.T. Conroy, J.L. LoCicero and D.R. Ucci. Communication techniques using monopulse waveforms[C] IEEE Military Communications conference Proceedings, Vol.2, Nov.1999, pp.1181-1185.
    [37]M Hamalainen, V Hovinen, J Iinatti et al. In-band Intereference Power Caused by Different Kinds of UWB Signals at UMTS/WCDMA Frequency Bands[C]. Proceedings of the IEEE Radio and Wireless Conference, Aug.2001, pp.97-100.
    [38]Ghavami, M., L.B.Michael, S. Haruyama and R.Kohro. A Novel UWB Pulse Shape Modulation System[J]. Wireless personal communications, vol.23, Oct.2002, pp.105-120.
    [39]L. Fullerton. Time Domain Transmission System[P]. U.S. Patent 4.813.057.14, March 1989.
    [40]J.G. Proakis. Digital Communications[C]. New York:McGraw-Hill,1983.
    [41]Price R., and P.E. Green Jr.. A communication technique for multipath channels[J]. Proc. IRE, vol.46, Mar 1958, pp.555-570.
    [42]P.I. Withington and L. W. Fullterto. An impulse radio communication system[C]. Proceedings of Int. Conf. Ultra-Wideband,1993, pp.113-120.
    [43]M.Win and R.Scholtz. Impulse radio:How it works[J]. IEEE Commun. Lett, vol.2, Feb.1998, pp. 36-38.
    [44]Bernard Sklar. Digital Communications Fundamentals and Applications, Second Edition[M]. Prentice Hall PTR,1988.
    [45]S. Bagga, L. Zhang, W. Serdijn, J. Long et al. A quantized analog delay for an IR-UWB quadrature downconversion receiver [C]. Proceedings of IEEE Int. Conf. Ultra-wideband,2005, pp.328-332.
    [46]S. Franz and U. Mitra. Genaralized UWB transmitted reference systems[J]. IEEE J. Select. Areas Commun, vol.24,2006, pp.780-786.
    [47]R. Hoctor and H. Tomlinson. Delay-hopped transmitted reference RF communications[C]. Proceedings of IEEE Conf. on Ultra Wideband Systems and Technologies,2002, pp.265-270.
    [48]F. Lee and A. Chandrakasan. A 2.5-nJ/b 0.65-v 3-to 5-GHz subbanded UWB receiver in 90-nm CMOS[C]. Proceedings of IEEE Int. Solid-State Circuits Conf.,2007, pp.116-117.
    [49]Behzad Razavi. Design of Analog CMOS Integrated Circuit [M]. McGraw-Hill Companies, Inc,2001.
    [50]R. Blazquez et al. "Digital Architecture for an Ultra-Wideband Radio Receiver". In VTC Fall'03, Orlando, FA, October 2003.
    [51]Won Namgoong. A channelized digital ultrawideband receiver[J]. IEEE transactions on wireless communications, vol.2,2003, pp.502-510.
    [52]Behzad Razavi. RF Microelectronics[M]. USA:Pearson Education, Inc. Press,1998.
    [53]Puneet P.Newaskar, Raul Blazquez, Anantha P.Chandrakasan, A/D precision requirements for an ultra-wideband radio receiver[C]. Proceedings of IEEE Signal Processing Systems, Oct.2002, pp.270-275.
    [54]Y.Vanderperren, Geert Leus, W.Dehaene. An approach for specifying the ADC and AGC requirements for UWB digital receivers[C]. Proceedings of IET Ultra Wideband Systems, Technologies and Applications, Apr.2006, pp.196-200.
    [55]汪源源,现代信号处理理论和方法[M]。上海:复旦大学出版社,2003年。
    [56]Alan V.Oppenheim, Ronald W.Schafer and John R.Buck, "Discrete-Time Signal Processing", Prentice-Hall, Inc,1999.
    [57]Alizad, A.R., et al. A Blind Channel Estimation Technique for TH-PPM UWB Systems[C]. IEEE International Communications Conference, June 2006, pp.4717-4722.
    [58]Ping Liu, et al.Blind channel estimation for multiple access UWB communications based on periodic time hopping and pulse-rate modeling[C]. IEEE Wireless Communication Technology Conference, Oct.2003, pp.455-456.
    [59]Zhiyuan Ren, et al. Low-complexity blind channel estimation algorithm for UWB communication systems[C]. Communications and Networking Conference, Aug.2008, pp.762-766.
    [60]Zhengdao Wang, et al. Ultra wide-band communications with blind channel estimation based on first-order statistics[C]. IEEE Acoustics, Speech, and Signal Processing Conference, May 2004, pp. 529-532.
    [61]Zhengdao Wang, et al. Blind channel estimation for ultra wide-band communications employing pulse position modulation[J]. IEEE Signal Processing Letters, vol.12, July 2005, pp.520-523.
    [62]Lei Huang, et al.Cramer-Rao Lower Bounds for Semi-Blind ML Channel Estimation in UWB Systems[J]. IEEE transactions on Wireless Communications, vol.5, Dec.2006, pp.3388-3393.
    [63]张贤达.,矩阵分析与应用[M]。清华大学出版社,2004年。
    [64]S. M. Kay. Fundamentals of Satistical Signal Processing:Estimation Theory[M]. Englewood Cliffs: Prentice-Hall,1993.
    [65]郑娟.宽带无线OFDM系统同步算法研究[D].北京:北京邮电大学,2008.
    [66]Win, M.Z., and R.A. Scholtz. Ultra-wide Bandwidth Timing Hopping Spread-Spectrum Impulse Radio for Wireless Multiple Access Communication. IEEE Transactions on Communications, Vol.48, Apr. 2000, pp.679-691.
    [67]Foerster, J.R.. The performance of a Direct-Sequence Spread Ultra-wideband System in the Presence of Multiple-Access Symstems:Uncoded and Coded Schemes[J]. IEEE Transactions on Communications, Vol.1, Oct.2002, pp.671-681.
    [68]Durisi, G., and S. Benedetoo. Performance Evaluation of TH-PPM UWB Systems in the Presence of Multiuser Interference[J]. IEEE Communications Letters,Vol.7, May 2003, pp.224-226.
    [69]Zheng Y J, Tong Y, Chyuen Wei Ang, et al. A CMOS carrier-less UWB transceiver for WPAN application[C]. IEEE International Solid-State Circuits Conference, Feb 2006, pp.378-387..
    [70]Bo Qin, Hongyi Chen, Yinghui Hao, et al. A single-chip 33pJ/pulse 5th-derivative Gaussian based IR-UWB transmitter in 0.13μm CMOS[C]. IEEE International Symposium on Circuits and Systems, May 2009, pp.401-404.
    [71]D. Lachartre, B. Denis, D. Morche, et al. A 1.1nJ/b 802.15.4a-compliant fully integrated UWB transceiver in 0.13μm CMOS[C]. IEEE International Solid-State Circuits Conference, Feb,2009, pp. 312-313.
    [72]Sylvain Bourdel, Yannick Bachelet, Jean Gaubert, et al. A 9-pJ/pulse 1.42-Vpp OOK CMOS UWB pulse generator for the 3.1-10.6-GHz FCC band[J]. IEEE Transactions on Microwave Theory and Techniques, vol.58, Jan 2010, pp.65-73.
    [73]Maria Gabriella Di Benedetto, Guerino Giancola 著,葛利嘉,朱林等译,超宽带无线电基础[M]。 北京:电子工业出版社,2005。
    [74]Di Benedetto Maria-Gabriella, Giancola Guerino et al. Understanding Ultra Wide Band Radio Fundamentals[M]. USA:Pearson Education, Inc., Press,2004.
    [75]DK Jeong, G Borriello, DA Hodges, et al. Design of PLL-based clock generation circuit[J].IEEE Journal of Solid-State Circuits, vol.22, Apr.1987,255-261.
    [76]Thomas H. Lee. The Design of CMOS Radio-Frequency Integrated Circuits[M]. England:Cambridge university Press,2004.
    [77]Sylvain Bourdel, Yannick Bachelet, Jean Gaubert, et al. A 9-pJ/pulse 1.42-Vpp OOK CMOS UWB pulse generator for the 3.1-10.6-GHz FCC band[J]. IEEE Transactions on Microwave Theory and Techniques, vol.58, Jan.2010,65-73.
    [78]Youngmin Park, David D. Wentzloff. An all-digital 12pJ/pulse 3.1-6.0GHz IR-UWB transmitter in 65nm CMOS[C]. IEEE Int. Conference on Ultra-Wideband (ICUWB),2010, pp.1-4.
    [79]V.V. Kulkarni, M. Muqsith, K. Niitsu, et al. A750 Mb/s,12pJ/b,6-to-10GHz CMOS IR-UWB transmitter with embedded on-chip antenna[J]. IEEE Journal of Solid-State Circuits, vol.44, Feb.2009, pp.394-403.
    [80]P.P. Mercier, D.C. Daly, and A.P. Chandrakasan. An energy-efficient all-digital UWB transmitter employing dual capacitively-coupled pulse-shaping drivers[J]. IEEE Journal of Solid-State Circuits, vol.44, June 2009, pp.1679-1688.
    [81]Aly Ismail and Asad A. Abidi. A 3-10-GHz Low-Noise Amplifier With Wideband LC-Ladder Matching Network[J]. IEEE Journal of Solid-State Circuits, vol.39, Dec.2004, pp.2269-2277.
    [82]U. Singh and M. Green. Dynamics of high-frequency CMOS dividers[C]. In IEEE Int. Circuits Systems Symp., vol.5,2002, pp. V-421-V-424.
    [83]J. Yuan and Svensson C. High-Speed CMOS Circuit Technique[J]. IEEE Journal of Solid-State Circuits, vol.24, February 1989, pp.62-70.
    [84]B.Razavi, K.F. Lee and R.H. Yan. A 13.4-GHz CMOS frequency divider[C]. In Proc. IEEE Int. Solid-State Circuits Conf., Feb.1994, pp.176-177.
    [85]H. Wang. A 1.8-V 3-mW 16.8-GHz frequency divider in 0.25μm CMOS[C]. In Proc. IEEE Int. Solid-State Conf., Feb.2000, pp.196-197.
    [86]K.M. Sharaf. A 5mW 19-43GHz broadband CMOS I/Q frequency divider[C]. In Proc. IEEE National Radio Science Conf. (NRSC), Mar.2008, pp.1-8.
    [87]C. Cao and K.O. Kenneth. A power efficient 26-GHz 32:1 static frequency divider in 130-nm bulk CMOS[J]. IEEE Microwave and Wireless Components Letter, vol.15, Nov.2005, pp.721-723.
    [88]陈光梦,数字逻辑基础[M],上海,复旦大学出版社,2003年。
    [89]B. Razavi. Principle of data conversion system designing[M]. New Jersey, IEEE PRESS,1995.
    [90]G. Van der Plas, S. Decoutere, and S. Donnay. A 0.19pJ/Conversion-step 2.5mW 1.25GS/s 4b ADC in 90nm Digital CMOS Process. ISSCC Dig. of Tech. Papers, Feb.,2006, pp.566-567.
    [91]Masays Miyahara, Akira Matsuzawa. A low-offset latched comparator using zero-static power dynamic offset cancellation technique[C]. IEEE Asian Solid-State Circuits Conf.,2009, pp.233-236.
    [92]David Barras, Robert Meyer-Piening, George von Bueren, et al. A Low-Power Baseband ASIC for an Energy-Collection IR-UWB Receiver. IEEE Journal of Solid-State Circuits, June,2009, pp.1721 1733.
    [93]D. C. Daly, P. Mercier, M. Bhardwaj, et al. A pulsed UWB receiver SoC for insect motion control [J]. In IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb.2009, pp.200-201.
    [94]F. Lee, R, Blazquez, B. Ginsburg, et al. A 3.1 to 10.6 GHz 100 Mb/s pulse-based ultra-wideband radio receiver chipset [C]. In Proc. IEEE Int. Conf. Ultra-Wideband,2006, pp.185-190.
    [95]Marco Crepaldi, Chen Li, Keith Dronsonl, et al. An Ultra-Low-Power Interference-Robust IR-UWB Transceiver Chipset Using Self-Synchronizing OOK Modulation[J]. In IEEE Int. Solid-State Circuits Conf.(ISSCC), Feb.2011, pp.226-228.
    [96]Artisan Standard Library SRAM Generator User Manual, Artisan Components, Inc.
    [97]Oregano Systems, MC8051 IP Core, Synthesizeable VHDL Microcontroller IP-core. Web: http://oregano.at/services/8051.htm

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700