用户名: 密码: 验证码:
旋转涂敷法(SOD)制备硅基多孔低k薄膜材料的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
自20世纪90年代以来,超大规模集成电路(ULSI)的特征尺寸按摩尔定律缩小。由于器件密度和连线密度增加、线宽减小,将导致阻容(RC)耦合增大,从而使信号传输延时、干扰噪声增强和功率耗散增大。未来的超大规模集成电路制造技术必须采用低介电常数(k)材料取代二氧化硅做层间介质来降低寄生电容,因此低介电常数材料(k <4)和超低介电材料(k <2)在今后的超大规模集成电路制造方面将占有重要的地位。本论文采用溶胶-凝胶法并与旋转涂敷工艺(SOD)相结合制备了硅基多孔低介电常数薄膜,系统地研究了制备多孔低介电薄膜的方法,并详细地分析了所制备薄膜的微结构,化学键和电学性质,得到了一些有价值的新结果。
     第一,首先,利用溶胶凝-胶法结合旋转涂敷工艺,制备了多孔低介电(k)的MSQ (methylsilsesquioxane甲基倍半硅氧烷)薄膜。本实验中,利用MTMS(甲基三甲氧基硅氧烷)做为主要原料,乙醇为溶剂,采用HCl为催化剂在常温下制备出MSQ胶体,然后用旋转涂敷工艺(SOD)将MSQ胶体制备成MSQ薄膜。由于MSQ的性质较为活泼,常规的制备方法难以控制,而本技术具有设备简单,工艺容易控制,掺杂方便等特点。解决了其他制备MSQ薄膜的方法所带来的困难。其次本文详细地分析了利用D4((八甲基环四硅氧烷)的低沸点和分子密度低的性质,将其作为致孔剂来制备多孔低介电的MSQ薄膜,并研究了退火温度和氛围对该多孔低介电薄膜的影响。对退火前后低介电薄膜样品的结构特点和电学性能进行了测试与分析。FTIR分析结果表明,合适的退火温度为500oC,合适的退火氛围是在氮气的保护下退火,所得到的薄膜经过退火处理后介电常数可达2.1,在氮气保护下400oC时退火之后的薄膜,它的漏电流密度比没有加D4的要低一个数量级。
     第二,本文详细地研究了不同气氛下退火处理对另一类硅基低介电薄膜—HSQ (hydrogensilsesquioxane)薄膜介电常数的影响。由于水的强极性键会导致介电常数和漏电流的增加,而Si-OH基易吸水,所以含有Si-OH键是低介电材料的不利因素。本论文发现了HSQ薄膜在氮气保护下并且在一定温度下退火可以有效地去除Si-OH基团,从而降低薄膜的介电常数及漏电流密度。同时又详细地介绍了用D5和D4分别作为致孔剂制备多孔低介电HSQ薄膜的技术,分析了该多孔低介电HSQ薄膜的结构变化,以及在不同氛围下退火后介电常数的变化。
     (1)FTIR结果发现,不加D4退火和加入D4在真空中退火后均会产生Si-OH基,而加入D4后在氮气保护下退火Si-OH基明显地被减弱。Si-OH基的出现,究其原因可能是由于Si-H和Si-O在温度的影响下被破坏,从而形成了Si-OH基。加入D4的样品在氮气保护下,温度为400oC时退火1.5小时后,-OH基团和C-H基团的吸收峰强度都有明显的减弱,表明水份和有机成分的大量流失。也就是说,在氮气的保护下退火可以有效地消减Si-OH基峰的强度。可能消减的原因可以用下面的脱水反应式来解释:
     Si-OH + HO-Si = Si-O-Si + H2O
     加入D4和未加入D4的样品,在不同的氛围下退火处理后其结构变化情况不同。加入D4的样品,并在氮气保护中,温度为400oC时退火1.5小时后的介电常数最低,加入D4,并且未经过任何处理的样品,这种薄膜的介电常数最高。加入D4,并在真空中,温度为400oC时退火1.5小时后,引起了Si-OH基的产生,造成了介电常数的增加。经过氧等离子体处理后的多孔HSQ薄膜的漏电流密度比未经过处理的多孔HSQ薄膜的漏电流密度几乎高了一个数量级。在电场强度为1 MV/cm时,经过氧气等离子体处理,又在氮气保护下,在350oC时退火1.5小时后的多孔HSQ薄膜的漏电流密度是3.0038×10-8 A/cm2,几乎是未经过处理的多孔HSQ薄膜的漏电流密度的两倍。由此可知,薄膜经退火处理之后,其漏电流密度有所改善。
     (2)加入D5 (十甲基环五硅氧烷)为致孔剂的多孔低介电HSQ薄膜退火处理工艺的分析。FTIR结构分析表明,在较高温度下有利于维持Si-H基,而Si-H基是构成低介电薄膜的主要基团。真空退火下出现了Si-OH基,所以真空退火不利于低介电薄膜。在温度为500oC时氮气氛围下退火1.5小时之后,由于脱水反应,Si-OH基的吸收峰强度很弱,同时由于D5分解的原因,D5分解后在薄膜中产生了孔隙,从而降低薄膜的分子密度,达到降低介电常数的目的。众所周知,Si-O键的笼式结构由于其特殊的结构有利于薄膜低介电性。而一定条件下的退火可以保持Si-H基和Si-O键的笼式结构,也就是有利于维持薄膜的低介电性。
     我们将在氮气保护下不同温度退火的多孔低介电HSQ薄膜与未处理的HSQ薄膜进行了介电特性测试。退火处理之后的HSQ薄膜的介电常数降低,并且介电常数随着退火温度的增加而增加。在温度为400oC时氮气氛围下退火1.5小时的薄膜样品的漏电流密度较大。其原因是在400oC时退火后,部分D5已经分解,产生了较大的孔隙,增加了漏电流密度。而在温度为500oC时,由于薄膜结构逐渐致密,变得均匀,所以漏电流密度降低。在温度为300oC时,溶剂和D5还未完全去除,故薄膜分子密度较大,所以其漏电流密度较低。在温度为400oC时退火后,Si-O-Si的笼式结构吸收峰强度大于在500oC和300oC的。
     第三,首次研究了甲烷等离子体表面处理对HSQ薄膜的结构和电学性能的影响。对HSQ薄膜进行了不同时间的甲烷等离子体处理,FTIR结果表明,处理时间对于单独使用甲烷等离子体处理后的HSQ薄膜结构没有太大的变化。但是,对于不同甲烷等离子体处理时间,又在氮气保护下,不同温度退火的HSQ薄膜而言,其结构有很大的变化。(1)随着甲烷等离子体处理时间的增加,Si-H键的含量在逐步增加,Si-O笼式结构得以保护,不会被破坏。Si-O笼式结构是维持低介电常数的主要结构,所以经过甲烷等离子体处理后的薄膜样品,可以保护低介电常数薄膜结构不被破坏。(2)随着退火温度的增加,Si-H键的含量也在逐步增加,而Si-O笼式结构容易遭到破坏,但随着甲烷等离子体处理时间的增加,破坏减小,Si-O笼式结构得以保护。因此,经过甲烷等离子体处理后的HSQ薄膜在高温退火后,可以保护Si-O笼式结构不遭到破坏,可以维持在高温退火后薄膜的低介电性能,从而保护退火薄膜的低介电性。
Since 1920’s, the feature size of ULSI (Ultra-large scale integrate circuit) is scaling down to a very small value according to the prediction of so-called“Moore’s Law”. In order to reduce the RC sign delay, power dissipation and cross-link noise between metal and interconnects caused by size shrinking continuously, the porous low dielectric constant (low- k) and ultra-low dielectric constant (k <2) materials recently received much close attention. In this thesis, we focus our research on the sol-gel with spin-on (compatibility with existent ULSI technology) technology to prepare low- k thin films. To the best our knowledge, we systematically studied the properties of these Silicon based films, such as preparation, microstructure, chemical bonds and leakage current density. We achieved some valuable breakthrough.
     1. Through sol-gel method with spin-on technology, we deposited the porous low dielectric constant MSQ (methylsilsesquioxane) thin films. We use ethanol as solvent and HCl as the catalyzer and MTMS (methyltrimethoxysilane) as the main materials to prepare MSQ colloid in room temperature. We prepared the MSQ colloid into MSQ thin films by using SOD (Spin-on Deposition). The MSQ is difficult to prepare because the property of MSQ is very lively. As one of the many methods to prepare MSQ thin films, this method possesses many virtues, such as simple equipment, easy to be controlled, convenient adulteration. We analyzed detailedly the properties of low-k MSQ thin films which prepared by using D4 (Octamethyl cyclotetrasiloxane) as sacrificial porous materials. FTIR investigated that the proper annealing temperature is 500oC. The dielectric constant of the MSQ thin films reduced to k=2.1. The leakage current density of the thin films annealed at 400oC lowered one order of magnitude comparing with no D4.
     2. The effect of dielectric constant of thin films was discussed detailedly in different annealing temperature. The contribution of the highly polarized Si-OH components to the orientation polarization will increase the k value of the thin films. Furthermore, the Si-OH bonds in the HSQ (hydrogensilsesquioxane ) films lead to moisture uptake, which is responsible for the increase of k value and leakage current density. In this thesis, the Si-OH bonds of HSQ films can be weaken after being annealed in N2, which is very valuable. We introduced the structural and dielectric varieties of these HSQ films which using D4 and D5 (decamethylcyclopentasiloxane) as sacrificial porous materials respectively. FTIR investigated that (1) the HSQ films of adding D4 and no D4 both produced Si-OH bonds after being annealed in vacuum, but they were weaken after being annealed in N2. The reason of the appearance of Si-OH bonds was that Si-H and Si-O bonds both were destroyed after being annealed. The -OH bonds and C-H bonds of the sample of adding D4 both were weaken after being annealed at 400oC for 1.5 h in N2. These results investigated that water and organic matter were disappeared. It can be explained with the following expression. Si-OH +HO-Si = Si-O-Si + H2O
     The properties of sample of adding D4 and no D4 were different after being annealed in different condition. The dielectric constant of sample of adding D4 is the lowest than others after being annealed at 400oC for 1.5 h in N2. The dielectric constant of sample of no D4 without any treatment is the highest. The dielectric constant of sample of adding D4 was increased after being annealed at 400oC for 1.5 h in vacuum. The reason is that the Si-OH bonds appearaned after the thin films were annealed in vacuum. The leakage current density of samples with O2 plasma treatment is higher one order magnitude than others. When E =1 MV/cm , The leakage current density of samples is 3.0038×10-8 A/cm2 with O2 plasma treatment and followed to be annealed at 350oC for 1.5 h in N2.
     (2) The analysis of low-k HSQ thin films with adding D5, FTIR investigated that it is benefit to Si-H bonds at higher temperature. The proper annealing condition is in N2. As we all know, Si-O cage structure is good to lower the dielectric constant. The D5 was decomposed after being annealed at 500oC for 1.5 h in N2, which resulted in porous to reduce the density of HSQ thin films. It is benefit to Si-H bonds with higher temperature. Si-CH3 (~1260 cm-1) and C-H (~2960 cm-1) were broken to form Si-OH bonds after being annealed in vacuum.
     We compared the dielectric constant with annealed treatment and without treatment. The dielectric constant is lower after being annealed. The dielectric constant of thin films with annealed treatment increased with the increase of annealing temperature. The leakage current density of samples was higher after being annealed at 400oC for 1.5 h in N2, The reason is that the decomposition of D5 produced many porous. The leakage current density of samples was lower after being annealed at 500oC for 1.5 h in N2. The reason is that the structure of HSQ thin films became compact and uniformity after being annealed. The intensity of Si-O-Si cage structure at 400oC is greater than 500oC and 300oC
     3. We first use CH4 plasma treated the HSQ films then were annealed in N2. The structural change of these films was introduced. They changed little with different CH4 plasma treatment time. (1) From the FTIR results, the CH4 plasma treatment can resist the structure of HSQ films to be destroyed after being annealing with the increase of treatment time. (2) The CH4 plasma treatment can keep the characterization (low leakage current density and low dielectric constant) of HSQ films with the increase of annealing temperature.
引文
[1] M. A. Wolfgang. The international technology roadmap for semiconductor perspectives and challenges for the next 15 years. Current Opinion in Solid State & Materials Science, 2002, 6, 371.
    [2] M. T. Bohr,“Interconnect scaling-the real limiter to high performance ULSI”, Solid State Tech. 1996, 39(9), 105.
    [3] Semiconductor Industry Association, International technology roadmap for semiconductors, 2005 edition, International SEMATECH, Austin, TX, 2005
    [4] JIN Y K, MOO S H,et al. Origin of low dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapor deposition, J. Appl. Phys., 2001, Vol. 90, No. 5, pp. 2469-2473.
    [5] S. Mizuno, A. Verma, H. Tran, et al. Thin Solid Films. 1996, 283, 30.
    [6] MAEX K., BAKLANOV M. R.,et al., Low dielectric constant materials for microelectronics, J. Appl. Phys., 2003, Vol. 93(11) pp. 8793-8841,
    [7] AONO M. NITTAS.High resistivity and dielectric constant amorphous carbon nitride films: application to low-k materials for ULSI [J].Diamond and Related Materials, 2002, 11, 1219-1222
    [8] SHAPIRO M J,DOBUZINSKY D,MATSUDA T,et al.CVD of fluorosilicate glass for ULSI applications[J].Thin solid Films,1995, 270, 503-507. [ 9 ]C. Wongmanerod , S. Zangooie , H. Arwin. Determination of poresize distribution and surface area of thin porous silicon layers by spectroscopic ellipsometry. Appl. Surf . Sci. 2001, 172, 117
    [10] Ko rcczynsk i E. Cu, low-k dielectrics top MRS meetingagenda. Solid State Techno logy, 1998, 41 (7), 66
    [11] Geppert L. Technology 1998 analysis and forecast. Solid State, IEEE Spectrum, 1998,35 (1), 23
    [12]S. Yu , T. K. S. Wong , K. Pita , X. Hu , V. Ligatchev. Surface modified silica mesoporous films as a low dielectric constant intermetal dielectric. J . Appl. Phys. 2002, 92, 3338
    [13] K. Maex et al, Low dielectric constant materials for microelectronics. J. Appl. Phys. 2003, 93, 8793
    [14]刘雄飞,李幼真,肖剑荣PECVD法制备低介电常数含氟碳膜研究[J]微细加工技术,2002,4, 40
    [15]叶超,宁兆元,程珊华,等微波电子回旋共振等离子体增强化学气相沉积法沉积氟化非晶碳薄膜的研究,物理学报,2001, 50(4), 784.
    [16] ENDO K, TNTSUMIT.Fluorinated amorphous carbon thin films grown by helicon plasma enhanced chemical vapor deposition for low dielectric constant interlayer dielectrics [J], Appl Phys Lett. 1996, 68, 2864
    [17] ENDO K, TNTSUMIT.Fluorinated amorphous carbon thin films grown by helicon plasma enhanced CVD for low dielectric constant interlayer dielectrics [J], J. Appl Phys. 1995, 78, 1370.
    [18] T. Homma, Mater. Sci. Eng. 1998 R 23, 243.
    [19] H. Treichel, G. Ruhl, P. Anmann et al. Microelectronic Engineering, 1998, 40, 1.
    [20] The International Technology Roadmap for Semiconductors, 2004 Interconnect, San Jose, CA 2004.
    [21] S. P. Jeng ,R. H. Havemann ,M. Chang. Process intergration and manu- facturability issues for high performance multilevel interconnect [C]. Proc.Mater. Res. Soc. Symp. 1994, 25, 31.
    [22] Thomas Abell and Karen Maex, Microelectronic Engineering, 2004, 76(1-4)), 16-19
    [23] J. Rouquerol, D. Avnir, C. W. Fairbridge, D. H. Everett, J. H. Haynes, N. Pernicone, J. D. F. Ramsay, K. S. W. Sing, and K. K. Unger, Pure Appl.Chem. 1994, 66, 1739.
    [24] M. R. Baklanov, D. Shamiryan and F. Lacopi. J. App1.Phys. 2003, Vol.93, 8793
    [25] J. J. S i,H. Ono, K. Uchida, et a1.,Appl. Phys. Lett. 2001, 79 (19), 3140.
    [26] Grill A ,Patel V. Low dielectirc constant filmsprepard by plasmaOenhanced chemical vapor deposition from tetramethylsilane[J ] . Journal of Applied Physics. 1999, 85(6), 3314.
    [27] Seoghyeong Lee ,JongOWan Park. Effect of postplasma treatment on characteristics of electron cyclotron resonance chemical vapor deposition SiOF films[J]. J. Vac Sci Technol A. 1999, 17(2), 458.
    [28] M. Petersen , M. T. Schulberg , L. A. Gochberg , Density functional theory analysis of infrared modes in carbon-incorporated SiO2 [J ]. Appl. Phys. Lett. 2003, 82, 2041.
    [29] Y. W. Koh , K. P. Loh , L. R. ong , A. T. S. Wee , L. Huang , J . Sudijono. Low dielectric constant a-SiOC:H films as copper diffusion barrier[J ]. J . Appl. Phys. 2003, 93, 1241.
    [30] C. Wongmanerod , S. Zangooie , H. Arwin. Determination of poresize distribution and surface area of thin porous silicon layers by spectroscopic ellipsometry[J ]. Appl. Surf . Sci. 2001, 172, 117.
    [31]A. Grill. Plasma enhanced chemical vapor deposited SiOCH dielectrics :from low2k to extreme low-k interconnect materials[J ]. J. Appl. Phys. 2003, 93, 1785. [32 ]A. Grill , V. Patel , Ultralow2k dielectrics prepared by plasma-enhanced- chemical vapor deposited. Appl. Phys. Lett . 2001, 79, 803.
    [33] D. Shamiryan , K. Weidner , W. D. Gray , M. R. Baklanov , S. Vanhaelemeersch , K. Maex. Comparative study of PECVD SiOCH low-k films obtained at different deposition conditions. Microelectronic Engineering. 2002, 64, 361.
    [34] B. Narayanan , R. Kumar , P. D. Foo. Properties of low-k SiOCH films prepared by plasma-enhanced chemical vapor deposition using trimethylsiane. Microelectronic Journal. 2002, 33, 971.
    [35] A. Grill , V. Patel. Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from tetramethylsilane. J. Appl. Phys. 1999, 85, 3314.
    [36] A. Grill , V. Patel , K. P. Rodbell , E. Huang , M. R. Baklanov , K. P. Mogilnikov , M. Toney , H. C. Kim. Porosity in plasma enhanced chemical vapor deposited SiOCH dielectrics:A comparative study. J . Appl. Phys. 2003, 94, 3427.
    [37] H. J . Lee , K. S. Oh , C. K. Choi , The mechanical properties ofthe SiOCH composite thin films with a low dielectric constant , Surface and Coating Technology. 2003, 171, 296.
    [38] J . Y. Kim , M. S. Hwang , Y. H. Kim , H. J . Kim , Y. Lee. Origin of low dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 2001, 90, 2469.
    [39] M. Petersen , M. T. Schulberg , L. A. Gochberg , Density functional theory analysis of infrared modes in carbon-incorporated SiO2 , Appl. Phys. Lett . 2003, 82, 2041.
    [40] Y. W. Koh , K. P. Loh , L. R. ong , A. T. S. Wee , L. Huang , J . Sudijono. Low dielectric constant a-SiOC:H films as copper diffusion barrier. J . Appl. Phys. 2003, 93, 1241.
    [41] C. S. Yang , Y. H. Yu , K. M. Lee , H. J . Lee , C. K. Choi. The influence of carbon content in carbon-doped silicon oxide film by thermal treatment . Thin Solid Films. 2003, 435, 165.
    [42] V. Ligatchev , T. K. S. Wong , B. Liu , Rusli. Atomic structure and defect densities in low dielectric constant carbon doped hydrogenated silicon oxide films , deposited by plasma-enhanced chemical vapor deposition. J . Appl. Phys. 2002, 92, 4605
    [43] MURARKA H C.Efect of curing temperature on mechanical properties of hydrogen silsequioxane thin films. Thin Solid Films. 1998, 355, 186-191.
    [44]苏祥林,吴振宇,汪家友,杨银堂.低k层间介质研究进展.微纳电子技术. 2005, 10, 463-468.
    [45]黄娆,刘之景.新型低介电常数材料研究进展.微纳电子技术. 2003, 9, 11-14.
    [1]黄朝,陈跃平,胡萍,王丁.有机硅材料,2003, 17 (2): 8~10
    [2] Suminoe T, Matsumura Y, Tomomitsu O. JP Kokoku2S260217214, 1985[Kokai25253288099, 1978];Chem. Abstr, 1978, 89: 180.
    [3] Matsumura Y. Nozue I .Tomomiysu O .Ukachi T. Suminoe T. USP 4. 1983, 399: 266; Chem. Abstr, 1983, 99: 159.
    [4] Nakashima H. JP Kokai2H232227321, 1991; Chem. Abstr, 1992, 11: 6601
    [5] Zusho X, Ziqun H , Daorong D , Rongben Z , Chin T. Polym Sci ,1989 ,7 (2): 1831
    [6]邱军,黄裕杰,胡友慧.功能高分子学报,1999 ,12(2): 6
    [7]谢祖寿,何志群,张榕本。耐高温梯形聚甲基硅树脂涂料.涂料工业, 1991 (2) : 5
    [8]邱军,黄裕杰,胡友慧.耐高温梯形聚甲基倍半硅氧烷的合成研究.功能高分子学报, 1999 , 12 (2) :173 [9 ] Dong, H.; Lee, M.-H.; Thomas, R. D.; Zhang, Z.; Reidy, R. F. Mueller,D. W. J. Sol.-Gel Sci. Techno. 2003, 28:5.
    [10] Smith, L. A. Macromolecules 1987, 20, 2514. (b) Alam, T. M. Assink, R. A; Loy, D. A. Chem. Mater. 1996, 8:2366.
    [11] Takamura, N.; Gunji, T.; Hatano, H.; Abe, Y. J. Polym. Sci., Part A: Polym. Chem. 1999, 37: 1017. (b) Lee, J. K.; Char, K. C.; Rhee,H. W.; Ro, H. W.; Yoo, D. Y.; Yoon, D. Y. Polymer 2001, 42;9085.
    [12] Hanjiang Dong, Michael A. Brook, and John D. Brennan。Chem. Mater. 2005, 17:2807-2816.
    [13] Hanjiang Dong, Rich and F. Reidy, and John D. Brennan,Chem. Mater. 2005, 17:6012-6017.
    [14] Hanjiang Dong and John D. Brennan,Chem. Mater. 2006, 18:541-546.
    [15] Abe Y, Hatano H, Gunji T. J Polym Sci, Part A: Polym Chem, 1995, 33: 7511.
    [16] Qiu J. Proceedings of the Asian Symposium on Information Display, 1997,1571.
    [17] Morimoto N, Yoshioka H. JP Kokai2H23220331, 1991; Chem Abstr, 1991, 115: 305.
    [18] Yang Y, Taylor P, Bassindale A, Chen H, Mackinnon I. The Abstract ofⅧInternational Symposium on Organ silicon Chemistry, Guanajato, Mexico, 2002, 171.
    [19] Hedrick, J. L; Miller, R. D.; Hawker, C. J; Carter, K. R; Volksen, W.; Yoon, D. Y; Trollsas, M. Adv. Mater. 1998, 10:1049.
    [20] Nguyen, C. V.; Carter, K. R.; Hawker, C. J.; Hedrick, J. L.; Jaffe,R. L. Miller, R. D. Remenar, J. F.; Rhee, H.-W.Rice, P. M.Toney,M. F. Trollsas, M. Yoon, D. Y. Chem. Mater. 1999, 11:3080.
    [21] Shu Yang, Peter A. Mirau, ect. Chem. Mater. 2002, 14:369-374.
    [22] Batchalder T, CaiW , et al. So lid State Techno logy, 1999, 3: 29- 34.
    [23] Chang T C, et al. Th in So lid F ilms, 2001,398-399; 637-640.
    [24] T saiM H, W hangW T. Po lymer, 2002, 42: 4197-4207.
    [25] A rnal V , et al. M icroelectronic Engineering, 2002, 60: 143-148.
    [26] Sh ieh B, Saraswat K, DealM , et al. Solid State Techno logy. 1999: 51-58.
    [27] N iu J Q , M artin S J , Godschalx J P, et al. ACS 224th N ationalM eeting (D ivision of Po lymeric M aterials) ,Bo ston, MA , 2002.
    [28] P rakash S S, Brinker C J , Hurd A J. J. Non-Cryst. Solids. 1995, 190: 264.
    [29] L u Y, Ganguli R, D rew ien C A , et al. Nature. 1997, 389: 364; 2001, 13: 1099-1102.
    [30] L iu P T, Chang T C, H su K C, et al. Thin Solid Films. 2002: 414.
    [31] Yang C M , Cho A T, Pan F M , et al. Advanced Materials, 2001, 13: 1099-1102.
    [32] Temp lin M , F ranck A , Duchesne A , et al. Science. 1997, 278: 1795.
    [33] Zhao D Y, Huo Q S, Feng J , et al. Science. 1998, 279: 548.
    [34] Chan V Z H, Hoffman J , L ee V Y. Science. 1999, 286: 1716.
    [35] DavidM , N ah reim K, Elbert H, et al. Po lym. P rep. 2000, 41 (1): 517.
    [36] Shu Y, Peter A M , Pai C S, et al. Chem. Mater. 2002, 14: 369.
    [37] Shu Y, M irau P, Reichmanis E, et al. ACS 224th N ational M eeting (D ivision of Po lymeric M aterials ) ,Bo ston, MA 2002.
    [38] Grill A. J. App l. Phys. 2003, 93 (3) : 1785.
    [39] Huang Q R, Vo lk sen W , Huang E, et al. Chem.M ater. 2002, 14 (9) : 3676.
    [40] M iller R D, Conno r E, Hawker C, et al. ACS 225th N ationalM eeting (D ivision of Po lymeric M aterials) ,N ew O rleans, LA 2003.
    [41] MaexK,Baklanov M R,Shamiryan D,et a1.J.App1.Phys. 2003,93 (11):8793-8841
    [42]丁大钊(Ding D Z),叶春堂(Ye C T),赵志祥(Zhao Z X)等.中子物理学——原理、方法与应用(Neutron Physics:Principles,Methods and Applications).北京:原子能出版社(Beijing:Atomic Energy Press)。2001,784—791
    [43] Baklanov M R,Mogilnikov K P.Microelectronic Engineering. 2002,64:335-349
    [44] wuw L,WallaceW E,Lin E K,eta1.J.App1.Phys.2000,87(3):1193-1200
    [45] Baklanov M R,Mogilnikov K P.Microelectronic Engineering. 2002,64:335-349
    [46] Petkov M P,Wang C L,Weber M H,et a1.J.Phys.Chem.B, 2003,107:2725- 2734
    [47] Sun J N,Hu Y F,Frieze W E,et a1.Radiation Phys.Chem.2003, 68:345-349
    [48] Dull T L,Frieze W E,Gidley D W,et a1.J.Phys.Chem.B,2001,105:4657- 4662
    [49] Wang C L,Weber M H,Lynn K G,et a1.App1.Phys.Lctt.2002,81(23):413-415; 83(24):4966-4968
    [50] Sun J N,Gidley DW ,HuY F,eta1.Mater.Res.Soc.Symp.Proc.2002,726:Ql0. 5. 1一Ql0. 5. 12
    [51] Sun J N,Hu Y F,Frieze W E,et a1.Radiation Phys.Chem.2003, 68:345-349
    [52] Dull T L,Frieze W E,Gidley D W,et a1.J.Phys.Chem.B,2001,105:4657- 4662
    [53] Wang C L,Weber M H,Lynn K G,et a1.App1.Phys.Lctt.2002,81(23):4413-4415
    [54] Gidley D W,Frieze W E,Dull T L,et a1.App1.Phys.Lett.2000,76(10):1282-1284
    [55] Yu R s,It0 K,HirataK,eta1.J.App1.Phys.2003,93(6):334 -3344
    [56] Ya R S,Ohdaira T,Suzuki R,et a1.App1.Phys.Lett.2003,83(24):4966-4968
    [57] Petkov M P,Weber M H,Lyn K G,et a1.App1.Phys.Lett.2000,77(16):2470-2472
    [58] Sun J N , Gidley D W, Hu Y F , et al . Mater. Res. Soc. Symp. Proc. 2002 , 726 : Q10. 5. 1—Q10. 5. 12
    [59] ZHAO J H, MALJK I, RAYAN T. et al. Thermamchanical properties and moisture uptake characteristies of hydrogen silsequioxane submicron films [J]. Appl Phy Let. 1999, 74 (7): 944-946.
    [60] LEE S. PARK J W. EAffect of postplasma treatment on characteristies of electron cyclotron resonance chemical vapor deposition SiOF films [J]. J Vac Sci Technol. 1999, A 17 (2): 458-462.
    [61]陈光华邓金祥新型电子薄膜材料[M]北京(化学工业出版社) 2002.
    [62] TERAOKA Y, AOKI H. IKAWA E. et al. Observation of sidewall contamination in submicroncontact holes by thermal deposition spectroscopy [J]. J Vac Sci Technol. 1995, B (13): 2197-2202.
    [63]杨辉,丁子上,江仲华,等.正硅酸乙酯溶胶–凝胶过程动力学[J].硅酸盐学报, 1989, 17(3): 204-209.
    [64] Jain A, Rogojevic S, Ponoth S, et al. Porous silica materials as low-k dielectrics for electronic and optical interconnects [J]. Thin Solid Films. 2001, 398: 513–522.
    [65]杨大祥,冯坚,周新贵,张长瑞,王娟.低介电常数纳米多孔氧化硅薄膜的研究进展[J].电子元件与材料, 2004, 23(9).
    [66]周仲承.纳米二氧化硅气凝胶的制备[D].长沙:国防科技大学本科生毕业论文, 2000.
    [67] Hyun Sang H, Kim Joong J, Park Hyung H. Synthesis and characterization of low-dielectric aerogel films [J]. J Am Cera Soc, 2000, 83(3): 533–540.
    [68]宁兆元叶超超低介电常数材料和多孔SiOCH薄膜2004, vol26(6 ): 15-23
    [69] M. Ebelmen, Ann. Chim. Phys. 1846, 16 : 129.
    [70] Suzhu Yu, Terence K. S. along, Xiao Hu and Kantisara Pita, Sol-gel derived mesoporous silica films used as low dielectric constant materias, Thin Solid Films, 2004, 462-463: 311-315.
    [71] T.Ohmi .J. Vac. Sc i.Technol.A, 1995, 13 (3): 1665. [72〕G. Binnig and C. F. Q ate, Phy. Rear. Lett. 1986, 56 (9): 930
    [73] P. E. J. flewittand R. K. Wild, Physical Methods for Materials Characterization Published by Institute Of Physics Publishing.
    [74] M.C. Kim,S. H. Cho,S. B. Lee,Y. Kim and J. H. Boo, Thin Solid Films. 2004, 447-448: 592--598.
    [75] Langmuir, J. Amer. Chen. Soc. 1916, 38: 2221.
    [76] S. Brunauer P. H. Emmett and E. Teller, J. Amer. Soc. 1938, 60: 309.
    [77] Sze S M 1981 physics of semiconductor Devices ( New York: Wiley) P362
    [78] Ryu S O,Lee W J,Lee N Y,Shin W C,You I K,Cho S M,Yoon S M,Yu B G,KOO J K and Kim J D Japan. J. App1. Phys. 2003, 42: 1665a
    [79] Suzhu Yu, Terence K. S. along, Xiao Hu, Jun Wei and Ming Shyan Yong, Microelectronic Engineering. 2005,77(2): 125-131.
    [80] T. C. Chang,S. T. Yan,P. T. L i u,Z. W. Lin,H. Aoki and S. M. Sze, Thin Solid Films, 2004 447-448(30): 516-523.
    [81] M. Sedlar, M. Sayer, and D. T. Amm. J. ApP1.Phys. 1996, 80(1): 357
    [82]柯以侃,董慧茄主编,《分析化学手册》(第二版)第三分册:光谱分析,北京:化学工业出版社,1998
    [83] V P Tolstoy, I. V. Chernyshova, V A. SkryshevsEcy,《Handbook of infrared spectroscopy of ultrathin fims》,John W}ley & Sons, lnc.. 2003
    [84]苏克曼,潘铁英,张玉兰,《波谱解析法》,上海:华东理工大学出版社,2002
    [85]翁诗甫编著,《傅里叶变换红外光谱仪》,北京:化学工业出版社,2005
    [86] Sun J N , Gidley D W, Hu Y F , et al . Mater. Res. Soc. Symp. Proc. 2002 , 726 : Q10. 5. 1—Q10. 5. 12
    [87]杨大祥,冯坚,周新贵,张长瑞,王娟,低介电常数纳米多孔氧化硅薄膜的研究进展,电子元件与材料,Vol.23 No.9
    [88]马马华,马马兰,马祥马,林铁等,SrTiO3金属-绝缘体-半导体结构的介电与界面特性,物理学报,2005,54(3),1391-1394.
    [1] D. M. Smith, J. Anderson, C. C. Cho, Mater. Res. Soc. Symp. Proc. 1995, 381: 261.
    [2] L. W. Hrubesh, Mater. Res. Soc. Symp. Proc. 1995, 381: 267.
    [3] C. M. Jin, J. D. Lutmer, D. M. Smith and T. A. Ramos, MRS Bulletin. 1997, 22 (10): 39.
    [4] Yi-Yeol Lyu., Jin-Heong Yim, Younghun Byun, Ji Man Kim and Jong-Ki Jeon, Thin Solid Films. 2006, 496(2): 526-532.
    [5] Jasbir S. Juneja, Pei-I Wang, Tansel Karabacak and T. M. Lu, Dielectric barriers, pore sealing, and metallization, Thin Solid Films. 2006, 504(1-2): 239-242.
    [6] B. Praveen Kumar, H. H. Kumar and D. K. Kharat, Effect of porosity on dielectric properties and microstructure of porous PZT ceramics, Materials Science and Engineering:B, 2006, 127: 2-3; 1999: 130-I33.
    [7]Eang GJ,Liu Z L,Yao KL J .Funct.Mater. 1999, 302
    [8] Suzhu Yu, Terence K. S. along, Xiao Hu and Jun Wei,Microelectronic Engineering. 2005, 77(1): 14-20.
    [9] L. Peters, Semicond. Int . 1998, 21: 64
    [10] J. J. S i,et al.,Appl .Pays .Lett. 2001, 79: 3140
    [11] G. Ruan, X. Xiao, Z. M. Zhu, Acta Electronic Sinica. 2000, 28(1I): 84.
    [12] C. M. Yang, A. T. Cho, F. M. Pan, et al.,Adv. Mater. 2001, 13 (14): 1099.
    [13] S. V. Nitta, V. Pisupatti, A. Jain, et al. J. Vac. Sc i.Technol. B, 1999, 17(1): 205.
    [14] X. S Zhao G.Q. Lu, J. Phys. Chem. B,1998, 102 (9): 1556.
    [15] Clizel,F. Mondon, Y. Loquet, et al. Microelectronics Reliability. 2000, 40: 675.
    [16]方平安,吴召平,玻璃与搪瓷,1999, 28(3): 14.
    [17] H. S. Ma, A. P. Roberts, J. H. Prevost, e t al.,J. Non-Cryst. Solids. 2000, 277: 127
    [18] C. M. Perkins B. B. Triplett, P. C. Mclntyre, K. C. Saraswat, S. Haukka and M. Tucminen, Appl. Phys. Lett. 2001, 78: 2357.
    [19] Perry, R.J. Adams, M.E. In Silicones and Silicone-Modified Materials, Clarson, S.J. Fitzgerald,J.J. Owen, M.J. Smith, S.D. Eds: American Chemical Society, Washington, DC, 2000, Vol. 728: 533.
    [20] Voronkov, M.G. Lavrent’yev, V.I: Top. Curr. Chem. 1982, 102: 199.
    [21]张鼎张,刘柏村NDL在无机类低介电常数材质的研发简介[J]纳米通讯,1996, 5 (4)
    [22] Rajiv Kumar, Ji}ender Paul Sharma and S. S. Sekhon, European Polymer Journal. 2005, 41(11): 2718-2725.
    [23] Nobuyuki Kawakami, Yoshito Fukumoto, Takashi Kinoshita, Kohei Suzuki, Ken-ichj Inoue. Jpn. J. Appl. Phys. 2000, 39: L182.
    [24] H.J. Dong, A. Michael, D. Brook, John Brenan. Chem. Mater. 2005, 17: 2807-2816.
    [25] C.Y.Wang,Z.X.Shen,and J.Z.Zheng,Applied Spectroscopy. 2000, 54 (2): 209.
    [26] G.Socrates,“Infrared Characteristic Group Frequencies,”John Wiley&Sons, Inc. (1980).
    [27] D.L.Vien and N.B.Colthup,“The Handbook of Infrared and Raman Characteristic Frequencies of Organic Molecules,”Academic Press,Inc.(1991).
    [28] A.Lee Smith,editor,“Analysis of Silicones,”John Wiley&Sons,New York(1974).
    [29] A. Julbe and J. D. F. Ramsay, in Fundamentals of Inorganic Membrane Science and Technology, edited by A. J. Burggraaf and L. Cot (Elsevier Science B.V., New York, 1996), Chap. 4, p. 67
    [30] C. L. Chang and T. J. Huang, Materials Science and Engineering B, 2003, 98(1): 45-53.
    [31] Sang-Bae Jung, Hyung-Ho Park and Haecheon Kim, Thin Solid Films. 2004, 447-448: 575-579.
    [32] Sunil Kumar Singh, A. A. Kumbhar and R. 0. Dusane, Materials Letters. 2006, 60 (13-14): 1579-1581.
    [33] Sunil Kumar Singh, Alka A. Kumbhar, Mayur Kothari and R. 0. Dusane, Thin Solid Films. 2006, 501(1-2): 318-321.
    [1] Baskaran S, Liu J, Domansky K, et al .Adv. Mater. 2000, 12(4): 291
    [2] Yuan-Jyh Lee, Jieh-Ming Huang, Shiao-Wei Kuo and Feng-Chih Chang, Polymer. 2005, 46 (23): 10056-10065.
    [3] Homma T. Material Science and Engineering. 1998, 23 (6): 243-285.
    [4] Chang-Chung Yang, Pei-Tzu Wu, Wen-Chang Chen and Hsin-Lung Chen. Polymer. 2004, 45(16): 5691-5702,
    [5] Sang-Bae Jung, Choo-Kyung Han and Hyung-Ho Park, Applied Surface Science. 2005, 244(1-4): 47-50.
    [6] S. K. Singh, A. A. Kumbhar, R. O. Dusane.“Repairing plasma-damaged low- k HSQ films with trimethylchlorosilane treatment”. Materials Science and Engineering B. 2006, 127: 29.
    [7] Sunil Kumar Singh, A. A. Kumbhar, R. O. Dusane.“Resisting oxygen plasma damage in low-k hydrogensilsesquioxane films by hydrogen plasma treatment”. Materials Letter. 2006, 60: 1579.
    [8] C. Jin, J. D. Luttmer, D. M. Smith, and T. Ramos, NRS bulletin, 1997, 22 (10): 39.
    [9] E. D. Birdscll and R. A. Gerhardt, in low Dielectric Constant Materials IV, C. Chiang, P. S. Ho. T. M. Lu. And J. T. Wetzel, editors, Materials Research Society Symposium, Warrendale. PA, 1998, 511: 111.
    [10]道康宁公司产品信息
    [11] T. K. S. Wong, B. Liu, B. Narayanan, V. Ligatchev and R. Kumar Investigation of deposition temperature effect on properties of PECVD SiOCH low-k f i lms, Thin Sol id Fi lms. 2004, 462-463, 156-160.
    [12] N. Nishiyama, S. Tanaka, Y. Egashira, Y. Oku, K. Ueyama. Chem. Mater. 2002, 14 (10): 4229.
    [13] Miller R , Science. 1991, 286: 421
    [14] The National Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA(1997).
    [15]何志巍,博士论文超低介电常数材料纳米多孔SiO2,和SiO2: F薄膜的制备及其物性研究,2006,71。
    [16] Cluzel J, Mondon F, et al.Electrical characterization of low permittivity materials for ULS1 intermetal insulation. Microelectronic Reliability. 2000, 40: 675.
    [1] Hyun Sang H, Kim Joong J, Park Hyung H. Synthesis and characterization of low-dielectric aerogel films [J]. J Am Cera Soc, 2000, 83(3): 533–540.
    [2] Hyun S H, Kim T Y, Kim G S, et al. Synthesis of low-k porous silica films via freeze drying [J]. J Mater Sci Lett. 2000, 19: 1863–1866.
    [3] L iu P T, Chang T C, H su K C, et al. Thin Solid Films. 2002: 414.
    [4] Aono M , Nitta S. Diamond and Related M terials. 2002, 11: 1219-1222.
    [5]S. Ito, Y. Homma, E. Saski, S. Urichama, and H. Morishima, J. Electrochem..Soc. 1990, 137: 1212.
    [6] M. P. Petkov, M. H. Weber, and K. G. Lynn, J. Appl. Phys. 1999, 86: 3104.
    [7] E. Kondoh, T. Asano, H. Arao, A. Nakashima, and M. Komatsu, Jpn. J.Appl. Phys, Part 1 2000, 39: 3919.
    [8] E. Kondoh, T. Asano, A. Nakashima, and M. Komatsu, J. Vac. Sci. Technol.B, 2000, 18: 1276
    [9] T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, T. J.Dalton, T.M. Lu, and R. Gutmann, Mater. Res. Soc. Symp. Proc. 1998, 511: 265.
    [10] P. J. Matsuo, T. E. F. M. Standaert, S. D. Allen, G. S. Oehrlein, and T. J.Dalton, J. Vac. Sci. Technol B, 1999, 17: 1435.
    [11] S.K. Singh, A.A. Kumbhar, R.O. Dusane Materials Science and Engineering B. 2006, 127: 29–33.
    [12] Sunil Kumar Singh, A.A. Kumbhar, R.O. Dusane Materials Letters. 2006, 60: 1579–1581.
    [13] T.C.Chang, P.T.Liu, Y.S.Mor, S.M.Sze, Y.L.Yang, M.S.Feng, F.M.Pan, B.T.Dai, and C.Y.Chang, J. Electrochem.Soc. 1999, 146: 3802.
    [14] Alka A. Kumbhar, Sunil Kumar Singh, R.O. Dusane Thin Solid Films. 2006, 501: 329– 331.
    [15] Shunsuke Tanaka, Hiromi Tada, Takanori Maruo, Norikazu Nishiyama. Thin Solid Films. 2006, 495: 186– 190.
    [16] Korcczynski E. Cu, low-k dielectrics top MRS meeting agenda. Solid State Techno logy. 1998, 41 (7): 66.
    [17] T.E.F.M.Standaert, P.J.Matsuo, S.D.Allen, G.S.Oehrlein and T.J.Dalton, J.Vac.Sci.Technol.A 1999, 17: 741.
    [18] M.J. Loboda, C.M. Grove, R.F. Schneider,“Properties of a-SiO:H Thin Films Deposited from Hydrogen Silsesquioxane Resins”. J. Electrochem. Soc. 1998, 145: 2861.
    [19] P. T. Liu, T. C. Chang, S. M. Sze, F. M. Pan, ec tal,“The effect of plasma treatment for low dielectric constant hydrogensilsesquioxane (HSQ)”. Thin solid films. 1998, 332: 345.
    [20] Chao Ye, Zhaoyuan Ning, Tingting Wang, Xiaozhu Yu, Yu Xin.“Effect of Si–OH group on characteristics of SiCOH films prepared by decamethylcyclopentasiloxane electron cyclotron resonance plasma”. Thin Solid Films. 2006, 496: 221.
    [21] Chang Sil Yang, Yong Hun Yu, Heon-Ju Lee, Kwang-Man Lee, Chi Kyu Choi. Thin Solid Films 2005, 475: 150.
    [22] R. S. Brusa, M. Spagolla, G. P. Karwasz, A. Zecca. J. Appl. Phys. 2004, 95: 2348.
    [23] A. Grill, V. Patel, J. Appl. Phys. 1999, 85: 3314.
    [24] C.S. Yang, Y.H. Yu, K.M. Lee, H.J. Lee, C.K. Choi, Thin Solid Films. 2003, 435: 165
    [1] YANG C M,CHO A T,PAN F M,et al. Spin-on mesoporous silica films with ultralow dielectric constants, ordered pore structure, and hydrophobic surfaces[J]. Adv Mater. 2001, 13(14): 1099-1102.
    [2] NITTA S V, PISUPATTIV, JAIN A, et al. Surface modified spin-on xerogel films as interlayer dielectrics[J]. J Vac Sci Technol. 1999, B17(1): 205-212.
    [3] ZHAO X S, LU G Q. Moddification of MCM-41 by surface silylation with trimethylchlorosilane and adsorption study[J]. J Phy Chem B. 1998, 102(9): 1556-1561.
    [4] CLUZEL J, MONDON F, LOQUEET Y, et al. Electrical characterization of low permittivity materials for ULSI intermetal-insulation[J]. Microelectron Reliab. 2000, 40: 675-678.
    [5]方平安,吴召平。溶胶-凝胶制备二氧化硅薄膜的开裂问题研究[J].玻璃与搪瓷,1999,28(3):14-19.
    [6] PETERS L. Industry divides on low-k dielectric choices[J]. Semicond int. 2001, 5:66-76.
    [7] MA H S, ROBERTS A P, PREVOST J H, et al. Mechanical structure-property relationship of aerogels[J]. J Non-Cryst Solids. 2000, 277: 127-141.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700