用户名: 密码: 验证码:
SoC测试中数据压缩与降低功耗方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着系统集成度与加工技术的飞速发展,特别是系统芯片(System-on-a-chip,SoC)的出现,集成电路进入了一个新的发展时期。SoC技术采用IP (Integrated Circuit)核复用的设计方法,将整个系统映射到单个芯片上,既可以缩短开发周期,又可以减小产品体积,提高了系统整体性能,近年来得到了广泛的关注。
     与SoC芯片高集成度和复杂度同时出现的,是其测试数据量、测试功耗也随之增加。现有的自动测试设备ATE在存储容量、测试通道数等方面满足不了测试需求,这对SoC测试提出了严峻的挑战,因而有必要对SoC测试资源进行优化,从而减少测试数据,降低测试功耗。
     本文从代码的相关性、Huffman编码的特点、扫描链的特点等方面入手,针对上述所提关键问题展开研究。
     首先,针对测试数据中无关位较多,可以对无关位根据需要进行适当赋值的特点,考虑无关位填充后代码之间的相互关系,提出了两种基于相容性和反向相容性的测试数据压缩方案,第一种方案针对具有相容性和连续位的数据块进行编码,后一种方案则针对具有相容性和反向相容性的数据块进行编码,实验证明,二者均能很好的提高测试数据压缩率;
     其次,针对Huffman编码的特点,提出了一种基于互补对称性的Huffman编码方案,该方案属于统计码编码方案的一种,不同于传统的基于Huffman编码的方案只对具有相容性的数据块进行编码,该方案能同时对具有相容性和反向相容性的数据块进行编码,实验证明,该方案优于同类基于Huffman编码的编码方案;
     再次,在分析测试功耗产生原因的基础上,提出了两种低功耗测试方案。基于测试向量重排序的方案将测试功耗问题等效成旅行商(TSP)问题,考虑到蚁群算法容易陷入到局部最优,将遗传算法融入到蚁群算法中,以找到最优的向量顺序,进而降低测试功耗;基于扫描链修改的方法利用对扫描链修改不影响电路逻辑功能的特点,对扫描链的结构进行修改,从而减少数据传递间的跳变次数,降低测试功耗;
     最后,提出了一种基于扫描链调整的测试数据压缩和测试功耗协同优化方案。在该方案中,首先划分扫描单元相容组,以找到具有相容性的扫描单元,对划分后的扫描单元组进行重排序、无关位填充、测试向量重排序和扫描切片差分,并将修改后的测试数据重新编码,从而达到降低测试功耗,提高压缩率的目的。
With the swift development of the system integration and processingtechnology, especially emergence of SoC (System-on-a-chip), IC (IntegratedCircuit) has entered a new period of development. Design of SoC mainly adoptsthe technique of reusable IP (Intellectual-property) cores, and maps the wholesystem to a single chip, so it can greatly short the time to mark, reduce the sizeof products and improve the performance of the system. Therefore, it has beenwidely used in many industrial fields in recent years.
     With the increase in integration and complexity, the mount of test data andtest power grow rapidly. As poses severe challenges to SoC test, available testresources such as storage capacities and the number of test channels of ATE don’tsatisfy the test requirements, consequently in order to reduce test data and lowertest power, it is necessary for SoC test resources optimization.
     By studying the relationship between two code words, characteristics ofHuffman coding and scan chain, this paper has launched a study on the above-mentioned key issues.
     Firstly, according to this feature of many don’t care bits of properlyassignment in the test data, two test data compression schemes based on mixed-compatible data block and complementary compatible data block have beenproposed by exploring the relation of codes after don’t care bits assignment. Thefirst scheme encoding compatible and consecutive data blocks, the later schemeencoding compatible and inversely compatible data blocks. Experimental resultsshow that two schemes can efficient improve compression rate.
     Secondly, according to Huffman coding characteristic, a test datacompression scheme based on complementary symmetrical Huffman coding hasbeen proposed, this scheme belongs to a statistical coding scheme. Unlike traditional coding based on Huffman coding, which encoding compatible datablocks, this scheme can simultaneously encoding with compatible and inverselycompatible data blocks. Experimental results show that this scheme is superior tosimilar scheme based on Huffman coding.
     Thirdly, on the basis of an analysis of the causes of test power, two lowpower test schemes are proposed. The scheme based on test vector reordering seepower test as a traveling salesman problem (TSP). Considering ant colonyalgorithm convergence easily to a local optimum, this paper make geneticalgorithm into ant colony algorithm to find the optimal vector ordering; Thescheme based on scan chain modification changes the architecture of the scanchain, which reduces the switching activities so as to reduce test power.
     Finally, a collaborative optimization scheme of test data compression andtest power based on scan chain adjustment is proposed. In this scheme,compatible scan unit is divided into a group, and the divided scan unit group isreordered, by don’t care bits assignment, test vector reordering and scan slicesdifference, modified test data encoding again so as to reduce test power andimprove compression ratio.
引文
[1] MOORE G. Progress in Digital Integrated Electronics[C]. InternationalElectron Device Meeting(IEDM) Tech. Digest,1975:11-13.
    [2]《现代集成电路测试技术》编写组.现代集成电路测试技术[M].北京:化学工业出版社,2006:9.
    [3] Semiconductor Industry Association, International Technology Roadmap forSemiconductors[EB/OL].http://www.itrs.net/Links/2009ITRS/Home2009.htm.
    [4]雷绍充,邵志标,梁峰.超大规模集成电路测试[M].北京:电子工业出版社,2008:142-145.
    [5] DONG X, YANG Z, CHAKRABARTY K, et al. A Reconfigurable ScanArchitecture With Weighted Scan-Enable Signals for Deterministic BIST[C].IEEE Transactions on Computer-Aided Design of Integrated Circuits andSystems,2008,27(6):999-1012.
    [6] JAS A, KRISHNA C V, TOUBA N A. Weighed Pseudorandom HybridBIST[C]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2004,12(12):1277-1283.
    [7] MING-JING C, DONG X. Pseudorandom Scan BIST Using Improved TestPoint Insertion Techniques[C]. Proceedings of7thInternational Conference onSolid-State and Integrated Circuits Technology,2004,2043-2046.
    [8] VRANKEN H, MEISTER F, and WUNDERLICH H J. CombiningDeterministic Logic BIST With Test Point Insertion[C]. Proceeding of theSeventh IEEE European Test Workshop,2002,105-110.
    [9] NAKAO M, KOBAYASHI S, HATAYAMA K, et al. Low Overhead TestPoint Insertion for Scan-Based BIST[C]. Proceeding of International TestConference,1999,348-357.
    [10] TAMARAPALLI N, RAJSKI J. Constructive Multi-Phase Test PointInsertion for Scan-Based BIST[C]. Proceedings of International TestConference,1996,649-658.
    [11] JERVAN G, PENG Z, SHCHENOVA T, et al. Hybrid BIST EnergyMinimization Techniques for System-On-Chip Testing[C]. IEEE ProceedingComputers and Digital Techniques,2006,153(4):208-216.
    [12] L LEI, CHAKRABARTY K. Hybrid BIST Based on Repeating Sequencesand Cluster Analysis[C]. Proceeding of Design, Automation and Test inEurope,2005,1142-1147.
    [13] JERVAN G, PENG Z, and UBAR R. Test Cost Minimization of HybridBIST[C]. Proceedings of IEEE International Symposium on Defect andFault Tolerance in VLSI Systems,2000,283-291.
    [14] AI-YAMANI A A, MITRA S, and MCCLUSKEY E J. Optimized Reseedingby Seed Ordering and Encoding[C]. IEEE Transactions on Computer-AidedDesign of Integrated Circuits and Systems,2005,24(2):264-270.
    [15] AI-YAMANI A A, MCCLUSKEY E J. BIST-guided ATPG[C]. Proceedingsof6thInternational Symposium on Quality of Electronic Design (ISQED2005),2005,244-249.
    [16] AI-YAMANI A A, MCCLUSKEY E J. Seed Encording with LFSR andCellular Automata[C]. Proceedings of Design Automation Conference,2003,560-565.
    [17] XIANG D, CHEN M, SUN J, et al. Improving Test Effectiveness of Scan-based BIST by Scan Chain Partitioning[C]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2005,24(6):916-927.
    [18]陈朝阳,张伸,沈绪榜.一种改进的SRAM并行BIST电路设计[J].系统工程与电子技术,2005,27(1):159-161.
    [19] NAN C L, YING J W, and YU H F. Low-Power BIST With a Smoother andScan-Chain Reorder Under Optimal Cluster Size[C]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2006,25(11):2586-2594.
    [20] ZOELLIN C, WUNDERLICH H J, MAEDING N, et al, BIST PowerReduction Using Scan-chain Disable in the Cell Processor[C]. Proceeding ofthe2006IEEE International Test Conference,2006,1-8.
    [21] POMERANZ I, REDDYS M. Static Test Compaction for Scan-basedDesigns to Reduce Test Application Time[C]. Proceedings of the Asian TestSymposium,1998:198-203.
    [22] HAMZAOGLU L, PATEL J H. Test Set Compaction Algorithms forCombinational Circuits[C].IEEE Transactions on Computer-AidedDesign of Integrated Circuits and Systems,2003,19(8):957-963.
    [23] RUNDICK E M, PATEL J H. Simulation-based Techniques for DynamicTest Sequence Compaction[C]. Proceedings of IEEE InternationalConference on Computer-Aided, California, USA,1996:67-73.
    [24] WOLFF F C, PAPACHRISTOU C. Multiscan-based Test Compression andHardware Decompression using LZ77[C]. IEEE International TestConference. Baltimore, MD, United States,2002:331-339.
    [25] KNIESER M J, WOLFF F C, PAPACHRISTOU C, et al. A Techniquefor High Ratio LZW Compression[C]. Design, Automation and Test inEuropean Conference and Exhibition. Munich, Germany,2003:116-121.
    [26] Li Lei, CHAKRABARTY K. Test Data Compression using dictionaries withfixed-length indices[C]. Proceedings of IEEE VLSI Test Symposium,California, USA,2003:219-224.
    [27] WURTENBERGER A, TAUTERMANN C S, HELLEBRAND S. DataCompression for Multiple Scan Chains Using Dictionaries withCorrections[C]. International Test Conference. Charlotte, NC, United States,2004:926-935.
    [28] KOENEMANN B. LFSR-coded Test Patterns for Scan Designs[C].Proceedings of European Test Conference.1991,237-242.
    [29] HELLEBRAND S, RAJSKI J, et al. Built-in Test for Circuits with ScanBased on Reseeding of Multiple-polynomial Linear Feedback ShiftRegisters[C]. IEEE Transactions on Computers,1995,44(2):223-233.
    [30] HELLEBRAND S, RAJSKI J, TARNICK S, VENKATARAMAN S.Generation of Vector Patterns through Reseeding of Multiple-polynomialLinear Feedback Shift Regist[C]. Proceedings of International TestConference.1992,120-129.
    [31] KRISHNA C, JAS A, and TOUBA N A. Test Vector Encoding Using PartialLFSR Reseeding[C]. Proceedings of International Test Conference.2001,885-893.
    [32] IYENGAR V, HAKRABARTY K, et al. Huffman Encoding of Test Setsfor Sequential Circuits[C]. IEEE Transactions Instrumentation andMeasurement,1998,47(1):21-25.
    [33] LEE LUNG-JEN, TSENG WANG-AUH, LIN RUNG-BIN. A Muti-Dimensional Pattern Run-Length Method for Test Data Compression[C].18Asian Test Symposium, Taichung, November2009:325-330.
    [34] TEHRANIPOOR M, NOURANI M, CHAKRABARTY K. Nine-codedCompression Technique for Testing Embedded Cores in SoCs[C]. IEEETransactions on Very Large Integration (VLSI) Systems,2005,13(6):719-731.
    [35] GONCIARI P T, Al-HASHIMI B M, NICOLICI N. Variable-length InputHuffman Coding for System-on-a-chip Test[C]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2003,22(6):783-796.
    [36] JAS A, GHOSH-DASTIDAR J, MOMENG N, et al. An Efficient Test VectorCompression Scheme Using Selective Huffman Coding[C]. IEEETransactions on Computer-Aided Design of Integrated Circuits and Systems,2003,22(6):797-806.
    [37] KAVOUSIANOS X, KALLIGEROS E, NIKOLOS D. Optimal SelectiveHuffman Coding for Test-data Compression[C]. IEEE Transactions onComputers,2007,56(8):1146-1152.
    [38]CHANDRA A, CHAKRABARTY K. System-on-a-chip Test-dataCompression and Decompression Architectures Based on Golomb Codes[C].IEEE Transaction on Computer-Aided Design of Integrated Circuits andSystems,2001,20(3):355-368.
    [39]CHANDRA A, CHAKRABARTY K. Test Data Compression andDecompression Based on Internal Scan Chains and Golomb Coding[C].IEEE transactions on Computer-Aided Design of Integrated Circuits andSystems,2002,21(6):715-722.
    [40]徐三子,梁华国,顾婉玉,刘杰.基于无关位动态赋值的幂次划分测试压缩方案[J].计算机研究与发展,2010,47(Suppl.):181-184.
    [41] CHANDRA A, CHAKRABARTY K. Test Data Compression and TestResource Partitioning for System-on-a-chip Using Frequency-directed Run-length(FDR) Codes[C]. IEEE transactions on Computers,2003,52(8):1076-1088.
    [42]EI-MALEH A H. Test Data Compression for System-on-a-chip UsingExtended Frequency-directed Run-length Codes[C]. IET Computer&Digital Techniques,2008,2(3):155-163.
    [43] EI-MALEH A H, AI-ABAJI R H. Extended Frequency-directed Run-lengthCodes with Improved Application to System-on-a-chip Test DataCompression[C]. Proceedings of9t hInternational conference onElectronics, Circuits and Systems,2002,449-452.
    [44]韩银和,李晓维,徐勇军等.应用Variable-Tail编码压缩的测试资源划分方法[J].电子学报,2004,32(8):1346-1350.
    [45]梁华国,蒋翠云.基于交替与连续长度码的有效测试数据压缩和解压[J].计算机学报,2004,27(4):549-554.
    [46]方建平,郝跃,刘红侠等.应用混合游程编码的SoC测试数据压缩方法[J].电子学报,2005,33(11):1973-1977.
    [47]俞洋.系统芯片测试优化关键技术研究[D].哈尔滨,哈尔滨工业大学博士学位论文,2008.
    [48]胡兵,陈光□,谢永乐.基于变移霍夫曼编码的SoC测试数据压缩[J].仪器仪表学报,2005,26(11):1114-1118.
    [49]詹文法.系统芯片外建自测试技术研究[D].合肥,合肥工业大学博士学位论文,2009.
    [50]时峰,梁华国,詹文法.应用扩展前缀编码的测试数据压缩方案[J].计算机辅助设计与图形学报,2008,20(3):378-383.
    [51]欧阳一鸣,肖祝红,梁华国.数据块前向相容标记码的测试数据压缩方法[J].计算机辅助设计与图形学学报,2007,19(8):986-990.
    [52] NARUSE M, POMERANTZ, et al. On-chip Compression of OutputResponses with Unknown Values Using LFSR Reseeding[C]. Proceedings ofIEEE International Test Conference(ITC), Charlotte, NC, USA,2003:1060-1068.
    [53] HAN Y, HU Y, Li H, et al. Theoretic Analysis and Enhanced X-tolerance ofTest Response Compact Based on Convolutional Code[C]. ACM Asia andSouth Pacific Design Automation Conference(ASP-DAC), Beijing, China,2005:53-58.
    [54] HAN Y, HU Y, LI H, et al. Embedded Test Resource for SoC to ReduceRequired Tester Channels Based on Advance Convolutional Codes[C]. IEEETransactions on Instrumentation and Measurement,2006,55(2):389-399.
    [55]叶益群,梁华国,詹凯华.基于响应分块相容的测试数据编码压缩方案[J].计算机辅助设计与图形学学报,2008,20(4):446-451.
    [56] ZORIAN Y. A Distributed BIST Control Scheme for Complex VLSIDevices[C]. Proceedings of IEEE VLSI Test Symposium(VTS),1993:4-9.
    [57] MONZEL J, CHAKRAVARTY S, et al. Power Dissipation during Testing:Should We Worry About It[C]. Proceedings of IEEE VLSI Test Symposium(VTS), Monterey, CA, USA,1997:456-457.
    [58] LEE K, HUANG T, et al. Peak-power Reduction for Multiple-scan Circuitsd u r i n g Te s t A p p l i c a t i o n [C]. Pr o ce e d i n g s o f I E E E A s i a n Te s tSymposium(ATS), Taipei, China,2000:453-458.
    [59] ROSINGER P, Al-HASHIMI B, NICOLICI N. Scan Architecture withMutually Exclusive Scan Segment Activation for Shift-and Capture-PowerReduction[C]. IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems,2004,23(7):1142-1153.
    [60] JEN YANG WEN, YU CHUAN HUANG, MING TUNG CHANG, et al. TestClock Domain Optimization for Peak Power Supply Noise ReductionDuring Scan[C]. IEEE International Test Conference(ITC), Anaheim, USA,2011:1-8.
    [61] LI J, HU Y, LI X. A Scan Chain Adjustment Technology for Test PowerReduction[C]. Proceedings of IEEE Asian Test Symposium(ATS), Fukuoka,Japan,2006:11-16.
    [62]李佳,胡瑜,李晓维等. SCANGIN:一种降低扫描测试中动态功耗的方法[J].计算机辅助设计与图形学学报,2006,18(9):1391-1396.
    [63] SARASWATHI T, RAGINI K, GANAPATHY R C. A Review on PowerOptimization of Linear Feedback Shift Register (LFSR) for Low PowerBuilt In Self Test (BIST)[C]. Proceedings of3thInternational Conference onElectronics Computer Technology, Kanyakumari, India,2011:172-176.
    [64] KORANNE S. Formulating SoC test scheduling as a network transportationproblem[C]. IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems,2002,21(12):1517-1525.
    [65] BHUNIA S, MAHMOODI H, GHOSH D, et al. Low-power Scan DesignUsing First-level Supply Gating[C]. IEEE Transactions on Very Large ScaleIntegration Systems,2005,13(3):384-395.
    [66] SANKARALINGAM R, TOUBA N. Inserting Test Points to Control PeakPower during Scan[C]. Proceedings of IEEE International Symposium ondefect and Fault Tolerance in VLSI Systems(DET), Vancouver, BC, Canada,2002:138-146.
    [67] SINANOGLU O, ORAILOGU A. Scan Power Minimization throughStimulus and Response Transformations[C]. Proceedings of Design,Automation, and Test in Europe(DATE), Paris, France,2004:404-409.
    [68] SINANOGLU O, BAYRAKTAROGLU I, ORAILOGU A. Through TestData Transition Frequency Analysis[C]. Proceedings of International TestConference,2002:844-850.
    [69] POUYA B, CROUCH A. Optimization Trade-offs for Vector Volume andTest Power[C]. Proceedings of IEEE International Test Conference(ITC),Atlantic, NJ, USA,2000:873-881.
    [70] SANKARALINGAM R, POUYA B, TOUBA N. Reducing PowerDissipation during Test Using Scan Chain Disable[C].Proceedings of IEEEVLSI Test Symposium(VTS), Los Angels, CA, USA,2001:319-324.
    [71] BONHOMME Y, GIRARD P, GUILLER L, et al. Efficient Scan ChainDesign for Power Minimization during Scan Testing under RoutingConstraint[C]. Proceedings of IEEE International Test Conference(ITC),Charlotte, NC, USA,2003:488-493.
    [72] Girard P, GUILLER L, LANDRAULT C, et al. Low Power BIST Design byHypergraph Partitioning: Methodology and Architectures[C]. Proceedings ofIEEE International Test Conference(ITC), Atlantic, NJ, USA,2000:652-661.
    [73] WANG S, GUPTA S. ATPG for Eat Dissipation Minimization during TestApplication[C]. IEEE Transactions on Computers,1998,47(2):256-262.
    [74] CORNO F, et al. A Test Pattern Generation Methodology for Low PowerConsumption[C]. Proceedings of16thVLSI Test Symposium(VTS),1998:453-459.
    [75] WU Y, CHAO M. Scan-chain Reordering for Minimizing Scan-shift Powerbased on Non-specified Test Cubes[C]. Proceedings of IEEE VLSI TestSymposium(VTS), San Diego, CA, USA,2008:147-154.
    [76] WEN X, YAMASHITA Y, KAJIHARA S, et al. On Low-capture-power ScanTest Generation for Scan Testing[C]. Proceedings of IEEE VLSI TestSymposium(VTS), Palm Springs, CA, USA,2005:265-270.
    [77] MIYASE K, KAJIHARA S. XID: Don’t Care Identification of Test PatternsFor Combinational Circuits[C]. IEEE Transactions on Computer-AidedDesign of Integrated Circuits and Systems,2004,23(2):321-326.
    [78] REMERSARO S, LIN X, ZHANG Z, et al. Preferred fill: A scalable Methodto Reduce Capture Power for Scan based Designs[C]. Proceedings of IEEEInternational Test Conference(ITC), Santa Clara, CA, USA,2006:1-10.
    [79] REMERSARO S, LIN X, REDDY S, et al. Low Shift and Capture PowerScan Tests[C]. Proceedings of International Conference on VLSI Design,Bangalore, India,2007:793-798.
    [80] JELODAR M S, MIZANIAN K. Power Aware Scan-based Testing UsingGenetic Algorithm[C]. Electrical and Computer Engineering, Canada,2006:1905-1908.
    [81] Giri C, CHOUDHARY P K, CHATTOPADHYAY S. Scan ArchitectureModification with Test Vector Reordering for Test Power Reduction[C].IEEE International Symposium on Integrated Circuits,2007:449-452.
    [82] CZYSZ D, MRUGALSKI G, et al. On Deploying Scan Chains for DataStorage in Test Compression Environment[J]. Design&Test of Computers,2011,27(6):46-53.
    [83] LUO Z, LI X, LI H, et al. Test Power Optimization Techniques for CMOSCircuits[C]. Proceedings of IEEE Asian Test Symposium, Guma, USA,2002:332-338.
    [84]王冠军,王茂励,赵莹.基于马尔科夫决策模型的测试向量排序新方法[J].计算机科学:2010,37(5):287-230.
    [85] KUMAR S K, KAUNDINYA S. Particle Swarm Optimization based VectorReordering for Low Power Testing[C]. IEEE Second Internationalconference on Computing Communication and Networking Technologies,2010:1-5.
    [86] WANG JIANHUA, SHAO JINGBO, LI YINGMEI. Using Ant ColonyOptimization for Test Vector Reordering[C]. IEEE Symposium on Industrialand Applications(ISIEA), Kuala Lumpur, Malaysia,2009:52-55.
    [87] DOI Y, KAJIHARA S, WEN X Q, et al. Test Compression for Scan CircuitsUsing Scan Polarity Adjustment and Pinpoint Test Relaxation[C].Proceedings of the Conference on Asia and South Pacific DesignAutomation, Shanghai,2005:59-64.
    [88] LI WEI-LIN, CHEN TSUNG-TANG, WU PO-HAN, et al. Test SliceDifference Technique for Low Power Encoding[C]. IEEE High LevelDesign Validation and Test Workshop, Taipei,2008:25-32.
    [89]方昊,宋晓笛,程旭.用扫描链重构来提高EFDR编码的测试压缩率和降低测试功耗[J].计算机辅助设计与图形学学报,2009,21(9):1290-1297.
    [90] WANG ZHANGLEI, CHAKRABARTY K. Test Data Compression UsingSelective Encoding of Scan Slices[C]. IEEE Transactions on Very LargeScale Integration(VLSI) Systems, November2008,16(11):1429-1152.
    [91] SANGA C, CHANDAN G, HAFIZUR R. Binary Difference based Test DataCompression for NoC based SoCs[C]. IEEE Computer Society AnnualSymposium on VLSI, Massachusetts, USA,2012:114-119.
    [92] LI WEI-LIN, WU PO-HAN, and RAU J C. Reducing Switching Activityby Test Slice Difference Technique for Test Volume Compression[C]. IEEECircuits And Systems International Symposium, Taipei,2009,27(7):2986-2989.
    [93] LIN YI-TSUNG, WU MENG-FAN. PHS-FILL: A Low Power SupplyNoise Test Pattern Generation Technique for At-speed Scan Testing inHuffman Coding. Test Compression Environment[C].17thAsian TestSymposium, Sapporo, November2008,391-396.
    [94]韩银河,李晓维.测试数据压缩和测试功耗协同优化技术[J].计算机辅助设计与图形学学,2005,17(6):120-1311.
    [95] ZORIAN Y. A Distributed BIST Control Scheme for Complex VLSIDevices[C]. Proceedings of11thIEEE VLSI Test Symposium(VTS),1993:4-9.
    [96] P GIRARD et al. A Modified Clock Scheme for A Low Power BIST TestPattern Generator[C]. Proceedings of19thVLSI Test Symposium(VTS),2001:306-311.
    [97] GIZOPOULOD S et al. Low Power/Energy BIST Scheme for Datapaths[C].Proceedings of18thVLSI Test Symposium(VTS),2000:23-28.
    [98] HERTWIG A, WUNDERLICH H J. Low Power Serial Built-In self-Test[C].Proceedings of3rdEuropean Test Workshop(ETW),1998:49-53.
    [99] CZYSZ D, MRUGALSKI G, et al. Low Power Embedded DeterministicTest[C]. Proceedings of IEEE VLSI Test Symposium(VTS),2007:75-83.
    [100] GIRARD P et al. Low Energy BIST Design: Impact of The LFSR TPGParameters on The Weighted Switching Activity[C]. Proceedings of IEEEInternational Symposium on Circuits and Systems,1999:110-113.
    [101] GERSTENDORFER S, WUNDERLICH H J. Minimized PowerConsumption for Scan-based BIST[C]. Proceeding of International TestConference(ITC),1999:77-84.
    [102] CHEUNG H, GUPTA S. A BIST Methodology for Comprehensive Testingof RAM with Reduced Heat Dissipation[C]. Proceedings of InternationalTest Conference(ITC),1996:22-32.
    [103]欧阳一鸣,邹宝升等.基于部分游程翻转的SOC测试数据压缩[J].电子测量与仪器学报,2010,24(1):23-28.
    [104] LU SHYUE-KUNG, CHUANG HEI-MING. Efficient Test patternCompression Techniques based on Complementary Huffman Coding[C].IEEE Circuits and Systems International Conference on Testing andDiagnosis (ICTD'09), Chengdu, China, April2009:1-4.
    [105] SANGA C, CHANDAN G. Test Data Compression for NoC Based SoCsUsing Binary Arithmetic Operations[C]. Proceedings of the16t hInternational Symposium, Shibpur, India,2012:337-342.
    [106] MEHTA U S, DASGUPTA K S, et al. Frequency Dependent BitAppending: An Enhancement to Statistical Codes for Test DataCompression[C]. IEEE India Conference(INDICON),2009:1-4.
    [107] EI-MALEH A H. Efficient Test Compression Technique based on BlockMerging[C]. IET Computer&Digital Techniques,2008,2(5):327-335.
    [108] CHANG C H, LEE L J, TSENG W D, et al.2nPattern Run-length for TestData Compression[C]. IEEE Transactions Computer Symposium, Tainan,December2010:562-567.
    [109]詹文法,梁华国,时峰等.一种混合变定长虚拟块游程编码的测试数据压缩方案[J].电子学报,2009,37(8):1837-1841.
    [110]李晓维,韩银和,胡瑜,李佳.数字集成电路测试优化[M].北京:科学出版社,2010.
    [111] CIRIT M A. Estimating Dynamic Power Consumption of CMOSCircuits[C]. Proceedings of International Conference on Computer-AidedDesign(ICCAD),1987:534-537.
    [112] SANKARALINGAM R, ORUGANI R, et al. Static CompressionTechniques to Control Scan Vector Power Dissipation[C]. Proceedings ofIEEE VLSI Test Symposium(VTS), Montreal, Canada,2000:35-40.
    [113]熊道勇,肖人岳.遗传算法和蚂蚁算法混合求解旅行商问题[J].科学技术与工程,2009,9(19):5817-5819.
    [114]黄明,王聪,梁旭.改进型遗传蚁群混合算法求解旅行商问题[J].大连交通大学学报,2011,32(2):86-88.
    [115]陈铁梅,罗家祥,胡跃明.基于蚁群-遗传算法的贴片机喂料器分配优化研究[J].控制与决策,2011,26(6):929-932.
    [116]毕硕本,董学士,马燕.遗传算法和蚁群算法优化TSP的设计与分析[J].武汉理工大学学报:2010,32(16):89-92.
    [117] GUPTA S, VAISH T, CHATTOPADHYAY S. Flip-flop ChainingArchitecture for Power-efficient Scan during Test Application[C].Proceedings of the14thAsian Test Symposium, Assam,2005:410-413.
    [118] SINANOGLU O, ORAILOGLU A. Modeling Scan Chain Modificationsfor Scan-in Test Power Minimization[C]. Proceedings of International TestConference,2003:602-611.
    [119]郭平,康艳荣,史晓晨.基于最大Code码的极大完全子图算法[J].计算机科学.2006,33(2):188-190.
    [120] TENENTES V, KAVOUSIANOS, X. Low Power Test-Compression forHigh Test-Quality and Low Test-Data Volume[C]. Proceeding of the20thAsian Test Symposium, New Delhi,2011:46-53.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700