用户名: 密码: 验证码:
基于分类学习的侧信道硬件木马检测方法研究
详细信息    查看全文 | 推荐本文 |
  • 作者:杨达明 ; 黄姣英 ; 高成
  • 关键词:硬件木马 ; 侧信道分析 ; 分类学习
  • 中文刊名:DZRU
  • 英文刊名:Electronic Technology & Software Engineering
  • 机构:北京航空航天大学可靠性与系统工程学院;
  • 出版日期:2018-12-04 13:36
  • 出版单位:电子技术与软件工程
  • 年:2018
  • 期:No.144
  • 基金:“微电子技术”方向十三五预研项目No.31513050304的资助
  • 语种:中文;
  • 页:DZRU201822163
  • 页数:5
  • CN:22
  • ISSN:10-1108/TP
  • 分类号:205-209
摘要
目前集成电路开发周期中,存在很多安全性无法保障的环节,使集成电路的开发受到硬件木马的威胁。硬件木马通常只在非常特殊的值或条件下才能被触发并且发生作用,传统的结构测试和功能测试难以快速触发并检测。本论文针对这一问题,开展了基于侧信道检测的硬件木马检测方法研究,选取全局电流作为侧信道测试的信号,完成检测识别,证明了基于侧信道功耗的硬件木马检测方法,可作为通用检测方法对集成电路上未知类型的硬件木马进行检测;在此基础上,研究设计了一种基于分类学习的硬件木马快速识别方法,通过机器分类学习建立模型,以此来分析未知数组,可达到自动化检测识别硬件木马的效果,识别效果达到为73.57%。该方法可快速、自动对批次电路进行硬件木马检测识别。
        
引文
[1]王晓晗,李雄伟,张阳等.一种基于故障注入的硬件木马设计[J].军械工程学院学报,2015(05):57-61.
    [2]谢海,恩云飞,王力纬.电磁泄漏型硬件木马设计与检测[J].广东工业大学学报,2013(04):70-73.
    [3]谢方方,李雄伟,张阳等.基于RS232协议时隙的硬件木马设计[J].科学技术与工程,2013,13(28):8284-8287.
    [4]罗宏伟.集成电路芯片安全隐患检测技术[J].半导体技术,2007,32(12):1094-1097.
    [5]李振,李磊,冯稳锋等.一种低触发率的硬件木马设计[J].微电子学与计算机,2014(05).
    [6]罗宏伟.集成电路芯片安全隐患检测技术[J].半导体技术,2007,32(12):1094-1097.
    [7]Jha S,Jha S K.Randomization Based Probabilistic Approach to Detect Trojan Circuits[C]//IEEEHigh Assurance Systems Engineering Symposium.IEEE Computer Society,2008:117-124.
    [8]Pomeranz I,Reddy S M.A Measure of Quality for n-Detection Test Sets[J].IEEE Transactions on Computers,2004,53(11):1497-1503.
    [9]薛明富,胡爱群,王箭.基于探索式分区和测试向量生成的硬件木马检测方法[J].电子学报,2016,44(05):1132-1138.
    [10]Becker G T,Kasper M,Moradi A,et al.Side-channel based watermarks for integrated circuits[C]//IEEEInternational Symposium on HardwareOriented Security and Trust.IEEE,2010:30-35.
    [11]Agrawal D,Baktir S,Karakoyunlu D,et al.Trojan Detection using ICFingerprinting[J].2008:296-310.
    [12]Lamech C,Rad R M,Tehranipoor M,et al.An Experimental Analysis of Power and Delay Signal-to-Noise Requirements for Detecting Trojans and Methods for Achieving the Required Detection Sensitivities[J].IEEE Transactions on Information F o r e n s i c s&S e c u r i t y,2 0 1 1,6(03):1170-1179.
    [13]Rai D,Lach J.Performance of delaybased Trojan detection techniques under parameter variations[C].IEEEInternational Workshop on HardwareOriented Security and Trust.IEEEComputer Society,2009:58-65.
    [14]Nowroz A N,Hu K,Koushanfar F,et al.Novel Techniques for High-Sensitivity Hardware Trojan Detection Using Thermal and Power Maps[J].ComputerAided Design of Integrated Circuits and Systems,IEEE Transactions on,2014,33(12):1792-1805.
    [15]Rad R M,Wang X,Tehranipoor M,et al.Power supply signal calibration techniques for improving detection resolution to hardware Trojans[C].Ieee/acm International Conference on Computer-Aided Design.IEEE,2008:632-639.
    [16]赵志勋,倪林,李少青.硬件木马电路功耗的检测方法[J].北京邮电大学学报,2015,38(04):128-132.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700